WebCPC CPC COOPERATIVE PATENT CLASSIFICATION

H01L SEMICONDUCTOR DEVICES; ELECTRIC SOLID STATE DEVICES NOT OTHERWISE PROVIDED FOR (use of semiconductor devices for measuring G01; resistors in general H01C; magnets, inductors {in general}, transformers H01F; capacitors in general H01G; electrolytic devices H01G 9/00; batteries, accumulators H01M; waveguides, resonators or lines of the waveguide type H01P; line connectors, current collectors H01R; stimulated emission devices H01S; electromechanical resonators H03H; loudspeakers, microphones, gramophone pick-ups or like acoustic electromechanical transducers H04R; electric light sources in general H05B; printed circuits, hybrid circuits, casings or constructional details of electric apparatus, manufacture of assemblages of electrical components H05K; use of semiconductor devices in circuits having a particular application, see the subclass for the application)

  NOTE - This subclass covers electric solid state devices which are not provided for in any other subclass and details thereof. This includes:
  - semiconductor devices adapted for rectifying, amplifying, oscillating or switching;
  - semiconductor devices sensitive to radiation;
  - electric solid state devices using thermoelectric, superconductive, piezo-electric, electrostrictive, magnetostrictive, galvano-magnetic or bulk negative resistance effects and integrated circuit devices.

  Also covered by this subclass are photo-resistors, magnetic field dependent resistors, field effect resistors, capacitors with potential-jump barrier, resistors with potential-jump barrier or surface barrier, incoherent light emitting diodes, electromechanical solid state transducers and thin-film or thick-film circuits.

  Furthermore, it provides for processes and apparatus adapted for the manufacture or treatment of such devices, except where such processes relate to single step processes for which provision exists elsewhere.

  In this subclass:

  The expression "solid state body" refers to the body of material within which, or at the surface of which, the physical effects characteristic of the device occur. In thermoelectric devices it includes all materials in the current path.

  Regions in or on the body of the device (other than the solid state body itself), which exert an influence on the solid state body electrically, are considered to be
  "electrodes" whether or not an external electrical connection is made thereto. {Electrodes are often referred to as "contacts" in the literature.} An electrode may include several portions and the term includes metallic regions which exert influence on the solid state body through an insulating region, (e.g. capacitive coupling) and inductive coupling arrangements to the body. The dielectric region in a capacitive arrangement is regarded as part of the electrode. In arrangements including several portions only those portions which exert an influence on the solid state body by virtue of their shape, size or disposition or the material of which they are formed are considered to be part of the electrode. The other portions are considered to be
  "arrangements for conducting electric current to or from the solid state body" or "interconnections between solid state components formed in or on a common substrate", i.e. leads.

  The word "device" refers to an electric circuit element; where an electric circuit element is one of a plurality or elements formed in or on a common substrate it is referred to as a "component".
  A "complete device" is a device in its fully assembled state which may or may not require further treatment, e.g. electro-forming, before it is ready for use but which does not require the addition of further structural units.

  The word "parts" includes all structural units which are included in a complete device.
  A "container" is an enclosure forming part of the complete device and is essentially a solid construction in which the body of the device is placed, or which is formed around the body without forming an intimate layer thereon. An enclosure which consists of one or more layers formed on the body and in intimate contact therewith is referred to as an "encapsulation".
  "Integrated circuit" is a device where all components, e.g. diodes, resistors, are built up on a common substrate and form the device including interconnections between the components.
  "Integration processes" are processes for the manufacture of at least two different components where the process is especially adapted to their integration, e.g. to take advantage of it or to reduce their manufacturing cost.

  Example: in a CMOS process, the same ion implant dopes the p-MOS gate and the n-MNOS source and drain.

  Consequently, a process for the manufacture of a component per se is not considered as an integration process, even though that component will be part of an integrated circuit.
  "Assembly" of a device is the building up of the device from its component constructional units and includes the provision of fillings in containers.

  When referring to the periodic table of the elements, either the new IUPAC notation, i.e. numbering system from 1 to 18, or the previous IUPAC form may be used to indicate an element group, e.g. group IV elements according to the previous IUPAC form correspond to group 14 elements according to the new notation

  WARNING - [C2012.08]

  The following IPC groups are not used in the CPC scheme. Subject matter covered by these groups is classified in the following CPC groups
   H01L 21/301 covered by H01L 21/30
   H01L 21/328 covered by H01L 29/66075 H01L 21/329 covered by H01L 29/66083
   H01L 21/33 covered by H01L 29/66227 H01L 21/331 covered by H01L 29/66234
   H01L 21/332 covered by H01L 29/66363 H01L 21/334 covered by H01L 29/66075
   H01L 21/335 covered by H01L 29/66409 H01L 21/336 covered by H01L 29/66477
   H01L 21/337 covered by H01L 29/66893 H01L 21/338 covered by H01L 29/66848
   H01L 21/339 covered by H01L 29/66946 H01L 21/58 covered by H01L 24/80
   H01L 21/8239 covered by H01L 27/105M H01L 21/60 covered by H01L 24/80
   H01L 21/66 covered by H01L 22/34 H01L 21/603 covered by H01L 24/80
   H01L 21/607 covered by H01L 24/80
   H01L 21/8242 covered by H01L 27/10844
   H01L 21/8244 covered by H01L 27/11 H01L 21/8246 covered by H01L 27/112
   H01L 21/8247 covered by H01L 27/11517 H01L 21/98 covered by H01L 25/50
   H01L 29/38 covered by H01L 29/04 to H01L 29/36D
   H01L 29/96 covered by H01L 29/68 to H01L 29/945 H01L 51/30 covered by H01L 51/0032
   H01L 51/40 covered by H01L 51/00A
   H01L 51/46 covered by H01L 51/0032
   H01L 51/48 covered by H01L 51/00A
   H01L 51/54 covered by H01L 51/0032

  Groups H01L 23/562 to H01L 23/576 do not correspond to former or current IPC groups.Concordance CPC : IPC for these groups is as follows:- H01L 23/562 - H01L 23/564 : H01L 23/00- H01L 23/57 : H01L 23/58

  Groups H01L 22/00 to H01L 22/64 do not correspond to a former or current IPC group.Concordance CPC : IPC for these groups is as follows:- H01L 22/00 - H01L 22/34 : H01L 21/66

  Groups H01L 24/00 to H01L 24/98 do not correspond to former or current IPC groups.Concordance CPC : IPC for these groups is as follows:- H01L 24/00 - H01L 24/98 : H01L 23/00

  Group H01L 25/50 does not correspond to a former or current IPC group.Concordance CPC : IPC for this group is as follows:- H01L 25/50 : H01L 21/98

  Groups H01L 28/00 - H01L 28/92 do not correspond to former or current IPC groups.Concordance CPC : IPC for these groups is as follows:- H01L 28/00 - H01L 28/92 : H01L 49/02

H01L 21/00 Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof ({testing or measuring during manufacture or treatment, or reliability measurements H01L 22/00; multistep manufacturing processes for passive two-terminal components without a potential-jump or surface barrier for integrated circuits H01L 28/00;}(processes or apparatus peculiar to the manufacture or treatment of devices provided for in groups H01L 31/00 to H01L 51/00 or of parts thereof, see these groups; single-step processes covered by other subclasses, see the relevant subclasses, e.g. C23C, C30B; photomechanical production of textured or patterned surfaces, materials or originals therefor, apparatus specially adapted therefor, in general G03F))

H01L 21/02 ・Manufacture or treatment of semiconductor devices or of parts thereof

H01L 21/02002 ・・{Preparing wafers}

  NOTE - 1. This group covers processes for manufacturing wafers prior to the fabrication of any device, i.e. between the sawing of ingots (covered by B28D) and the cleaning of substrates (covered by H01L 21/02F).
  2. This group does not cover:
  - simple use of grinding or polishing machines B24B
  - thermal smoothening H01L 21/324

H01L 21/02005 ・・・{Preparing bulk and homogeneous wafers}

  WARNING - Not complete, see H01L 21/30 and subgroups

H01L 21/02008 ・・・・{Multistep processes}

H01L 21/0201 ・・・・・{Specific process step}

H01L 21/02013 ・・・・・・{Grinding, lapping}

H01L 21/02016 ・・・・・・{Backside treatment}

H01L 21/02019 ・・・・・・{Chemical etching}

H01L 21/02021 ・・・・・・{Edge treatment, chamfering}

H01L 21/02024 ・・・・・・{Mirror polishing}

H01L 21/02027 ・・・・{Setting crystal orientation}

H01L 21/0203 ・・・・{Making porous regions on the surface}

H01L 21/02032 ・・・・{by reclaiming or re-processing}

H01L 21/02035 ・・・・{Shaping}

H01L 21/02038 ・・・{Preparing wafers having an insulating layer, e.g. SOI wafers}

  WARNING - Not complete, see H01L 21/7624 and subgroups

H01L 21/02041 ・・{Cleaning}

H01L 21/02043 ・・・{Cleaning before device manufacture, i.e. Begin-Of-Line process}

H01L 21/02046 ・・・・{Dry cleaning only (H01L 21/02085 takes precedence)}

H01L 21/02049 ・・・・・{with gaseous HF}

H01L 21/02052 ・・・・{Wet cleaning only (H01L 21/02085 takes precedence)}

H01L 21/02054 ・・・・{combining dry and wet cleaning steps (H01L 21/02085 takes precedence)}

H01L 21/02057 ・・・{Cleaning during device manufacture}

H01L 21/0206 ・・・・{during, before or after processing of insulating layers}

H01L 21/02063 ・・・・・{the processing being the formation of vias or contact holes}

H01L 21/02065 ・・・・・{the processing being a planarization of insulating layers}

H01L 21/02068 ・・・・{during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers}

H01L 21/02071 ・・・・・{the processing being a delineation, e.g. RIE, of conductive layers}

H01L 21/02074 ・・・・・{the processing being a planarization of conductive layers}

H01L 21/02076 ・・・{Cleaning after the substrates have been singulated}

H01L 21/02079 ・・・{Cleaning for reclaiming}

H01L 21/02082 ・・・{product to be cleaned}

H01L 21/02085 ・・・・{Cleaning of diamond}

H01L 21/02087 ・・・・{Cleaning of wafer edges}

H01L 21/0209 ・・・・{Cleaning of wafer backside}

H01L 21/02093 ・・・・{Cleaning of porous materials}

H01L 21/02096 ・・・{only mechanical cleaning}

H01L 21/02098 ・・・{only involving lasers, e.g. laser ablation}

H01L 21/02101 ・・・{only involving supercritical fluids}

H01L 21/02104 ・・{Forming layers (deposition in general C23C; crystal growth in general C30B)}

  WARNING - Group H01L 21/02104 and subgroups are not complete pending reorganisation. See also groups H01L 21/20, H01L 21/36, H01L 21/06,H01L 21/16 and subgroups

H01L 21/02107 ・・・{Forming insulating materials on a substrate}

  WARNING - This group and subgroups are not complete pending the completion of a reclassification; see also H01L 21/312, H01L 21/314, H01L 21/316, and H01L 21/318 and subgroups thereof

H01L 21/02109 ・・・・{characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates}

H01L 21/02112 ・・・・・{characterised by the material of the layer}

  NOTE - Layers comprising sublayers, i.e. multi-layers, are additionally classified in H01L 21/02KC3; porous layers are additionally classified in H01L 21/02203

H01L 21/02115 ・・・・・・{the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon}

H01L 21/02118 ・・・・・・{carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC (polymers per se C08G, photoresist per se G03F)}

H01L 21/0212 ・・・・・・・{the material being fluoro carbon compounds, e.g. (CFx)}n,(CHxFy)n or polytetrafluoroethylene]

H01L 21/02123 ・・・・・・{the material containing silicon}

H01L 21/02126 ・・・・・・・{the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC}

H01L 21/02129 ・・・・・・・・{the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG}

  NOTE - Halogen, e.g. fluorine, containing BPSG, PSG, BSG, and the like, are additionally classified in H01L 21/02131

H01L 21/02131 ・・・・・・・・{the material being halogen doped silicon oxides, e.g. FSG}

H01L 21/02134 ・・・・・・・・{the material comprising hydrogen silsesquioxane, e.g. HSQ}

H01L 21/02137 ・・・・・・・・{the material comprising alkyl silsesquioxane, e.g. MSQ}

H01L 21/0214 ・・・・・・・・{the material being a silicon oxynitride, e.g. SiON or SiON:H}

H01L 21/02142 ・・・・・・・{the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides}

H01L 21/02145 ・・・・・・・・{the material containing aluminium, e.g. AlSiOx}

H01L 21/02148 ・・・・・・・・{the material containing hafnium, e.g. HfSiOx or HfSiON}

H01L 21/0215 ・・・・・・・・{the material containing tantalum, e.g. TaSiOx}

H01L 21/02153 ・・・・・・・・{the material containing titanium, e.g. TiSiOx}

H01L 21/02156 ・・・・・・・・{the material containing at least one rare earth element, e.g. silicate of lanthanides, scandium or yttrium}

H01L 21/02159 ・・・・・・・・{the material containing zirconium, e.g. ZrSiOx}

H01L 21/02161 ・・・・・・・・{the material containing more than one metal element}

H01L 21/02164 ・・・・・・・{the material being a silicon oxide, e.g. SiO2}

  NOTE - The formation of silicon oxide layers is classified in this group regardless of the precursor or of the process of formation; in case of explicit statements on doping, on rest-groups, or on material components see H01L 21/02126 and subgroups; deposition of silicon oxide from organic precursors without further statements on film composition is classified here and in H01L 21/02205 and subgroups

H01L 21/02167 ・・・・・・・{the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides (H01L 21/02126 and H01L 21/0214 take precedence)}

H01L 21/0217 ・・・・・・・{the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz (H01L 21/02126 and H01L 21/0214 take precedence)}

H01L 21/02172 ・・・・・・{the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides (materials containing silicon H01L 21/02123; metal silicates H01L 21/02142)}

H01L 21/02175 ・・・・・・・{characterised by the metal (H01L 21/02197 takes precedence)}

H01L 21/02178 ・・・・・・・・{the material containing aluminium, e.g. Al2O3}

H01L 21/02181 ・・・・・・・・{the material containing hafnium, e.g. HfO2}

H01L 21/02183 ・・・・・・・・{the material containing tantalum, e.g. Ta2O5}

H01L 21/02186 ・・・・・・・・{the material containing titanium, e.g. TiO2}

H01L 21/02189 ・・・・・・・・{the material containing zirconium, e.g. ZrO2}

H01L 21/02192 ・・・・・・・・{the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium}

H01L 21/02194 ・・・・・・・・{the material containing more than one metal element}

H01L 21/02197 ・・・・・・・{the material having a perovskite structure, e.g. BaTiO3}

H01L 21/022 ・・・・・{the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides (adhesion layers or buffer layersH01L 21/02304, H01L 21/02362)}

H01L 21/02203 ・・・・・{the layer being porous}

H01L 21/02205 ・・・・・{the layer being characterised by the precursor material for deposition}

H01L 21/02208 ・・・・・・{the precursor containing a compound comprising Si}

H01L 21/02211 ・・・・・・・{the compound being a silane, e.g. disilane, methylsilane or chlorosilane}

H01L 21/02214 ・・・・・・・{the compound comprising silicon and oxygen}

  NOTE - This group does not cover mixtures of a silane and oxygen

H01L 21/02216 ・・・・・・・・{the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane}

H01L 21/02219 ・・・・・・・{the compound comprising silicon and nitrogen}

  NOTE - This group does not cover mixtures of silane and nitrogen

H01L 21/02222 ・・・・・・・・{the compound being a silazane}

H01L 21/02225 ・・・・{characterised by the process for the formation of the insulating layer}

H01L 21/02227 ・・・・・{formation by a process other than a deposition process}

  NOTE - Subject matter classified in the range of H01L 21/0223 to H01L 21/02249 is additionally classified in H01L 21/02249, H01L 21/02255 and H01L 21/02252, depending on the type of reaction

H01L 21/0223 ・・・・・・{formation by oxidation, e.g. oxidation of the substrate}

H01L 21/02233 ・・・・・・・{of the semiconductor substrate or a semiconductor layer}

H01L 21/02236 ・・・・・・・・{group IV semiconductor}

H01L 21/02238 ・・・・・・・・・{silicon in uncombined form, i.e. pure silicon}

H01L 21/02241 ・・・・・・・・{III-V semiconductor}

H01L 21/02244 ・・・・・・・{of a metallic layer}

H01L 21/02247 ・・・・・・{formation by nitridation, e.g. nitridation of the substrate}

H01L 21/02249 ・・・・・・{formation by combined oxidation and nitridation performed simultaneously}

H01L 21/02252 ・・・・・・{formation by plasma treatment, e.g. plasma oxidation of the substrate (after treatment of an insulating film by plasma H01L 21/3105 and subgroups)}

H01L 21/02255 ・・・・・・{formation by thermal treatment (H01L 21/02252 takes precedence; after treatment of an insulating film H01L 21/3105 and subgroups)}

H01L 21/02258 ・・・・・・{formation by anodic treatment, e.g. anodic oxidation}

H01L 21/0226 ・・・・・{formation by a deposition process (per se C23C)}

H01L 21/02263 ・・・・・・{deposition from the gas or vapour phase}

  NOTE - This group and subgroups also cover deposition methods in which the gas or vapour is produced by physical means, e.g. ablation from targets or heating of source material

H01L 21/02266 ・・・・・・・{deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition}

H01L 21/02269 ・・・・・・・{deposition by thermal evaporation, H01L 21/02293 takes precedence}

  NOTE - Subject matter relating to molecular beam epitaxy is classified in this group

H01L 21/02271 ・・・・・・・{deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition (H01L 21/02266 takes precedence)}

H01L 21/02274 ・・・・・・・・{in the presence of a plasma (PECVD)}

H01L 21/02277 ・・・・・・・・{the reactions being activated by other means than plasma or thermal, e.g. photo-CVD}

H01L 21/0228 ・・・・・・・・{deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD}

  NOTE - Subject matter relating to cyclic plasma CVD is additionally classified in H01L 21/02274

H01L 21/02282 ・・・・・・{liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating}

H01L 21/02285 ・・・・・・・{Langmuir-Blodgett techniques}

H01L 21/02288 ・・・・・・・{printing, e.g. ink-jet printing (per se B41J)}

H01L 21/0229 ・・・・・・・{liquid atomic layer deposition}

H01L 21/02293 ・・・・・・{formation of epitaxial layers by a deposition process(epitaxial growth per se C30B)}

  NOTE - Formation of non-epitaxial layers by MBE, ALE, etc. is not covered by this group; for MBE see H01L 21/02269; for ALE see H01L 21/0228

H01L 21/02296 ・・・・{characterised by the treatment performed before or after the formation of the layer (H01L 21/02227 and subgroups take precedence)}

  NOTE - This group and subgroups only cover processes which are directly linked to the layer formation; routine anneals, i.e. thermal treatment without further features like a special atmosphere, presence of a plasma, thermally induced chemical reactions, change of phase (crystal structure) etc. are not classified here; for cleaning see
   H01L 21/02041 and subgroups; for etching processes see
   H01L 21/311 and subgroups; for planarization processes see
   H01L 21/31051 and subgroups; for processes to repair etch damage see H01L 21/3105 and subgroups

H01L 21/02299 ・・・・・{pre-treatment}

  NOTE - This group and subgroups cover treatments to improve adhesion or change the surface termination; for etching see H01L 21/306 and subgroups and H01L 21/311 and subgroups

H01L 21/02301 ・・・・・・{in-situ cleaning}

  NOTE - Subject matter relating to the cleaning processes for semiconductor devices in general is covered by H01L 21/02041 and subgroups

H01L 21/02304 ・・・・・・{formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers}

H01L 21/02307 ・・・・・・{treatment by exposure to a liquid}

H01L 21/0231 ・・・・・・{treatment by exposure to electromagnetic radiation, e.g. UV light}

H01L 21/02312 ・・・・・・{treatment by exposure to a gas or vapour}

H01L 21/02315 ・・・・・・・{treatment by exposure to a plasma}

H01L 21/02318 ・・・・・{post-treatment}

  NOTE - This group only covers processes that are part of the layer formation; treatments which are performed after completion of the insulating layer are covered by H01L 21/3105 and subgroups

H01L 21/02321 ・・・・・・{introduction of substances into an already existing insulating layer; H01L 21/02227 and subgroups take precedence}

  NOTE - processes like the introduction of phosphorus into silicon oxide by diffusion, or doping of an already existing insulating layer are covered by this group and subgroups; for the method of introduction, see H01L 21/02337, H01L 21/02343, H01L 21/02345 and subgroups

H01L 21/02323 ・・・・・・・{introduction of oxygen}

H01L 21/02326 ・・・・・・・・{into a nitride layer, e.g. changing SiN to SiON}

H01L 21/02329 ・・・・・・・{introduction of nitrogen}

H01L 21/02332 ・・・・・・・・{into an oxide layer, e.g. changing SiO to SiON}

H01L 21/02334 ・・・・・・{in-situ cleaning after layer formation, e.g. removing process residues (cleaning compositions per se C30D; cleaning in general B08B)}

  NOTE - Subject matter relating to the cleaning processes for semiconductor devices in general is covered by H01L 21/02041 and subgroups

H01L 21/02337 ・・・・・・{treatment by exposure to a gas or vapour}

H01L 21/0234 ・・・・・・・{treatment by exposure to a plasma}

H01L 21/02343 ・・・・・・{treatment by exposure to a liquid}

H01L 21/02345 ・・・・・・{treatment by exposure to radiation, e.g. visible light}

H01L 21/02348 ・・・・・・・{treatment by exposure to UV light}

H01L 21/02351 ・・・・・・・{treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions}

H01L 21/02354 ・・・・・・・{using a coherent radiation, e.g. a laser}

H01L 21/02356 ・・・・・・{treatment to change the morphology of the insulating layer, e.g. transformation of an amorphous layer into a crystalline layer}

H01L 21/02359 ・・・・・・{treatment to change the surface groups of the insulating layer}

H01L 21/02362 ・・・・・・{formation of intermediate layers, e.g. capping layers or diffusion barriers}

H01L 21/02365 ・・・{Forming inorganic semiconducting materials on a substrate (for light-sensitive devices H01L 31/00)}

H01L 21/02367 ・・・・{Substrates}

H01L 21/0237 ・・・・・{Materials}

H01L 21/02373 ・・・・・・{Group 14 semiconducting materials}

H01L 21/02376 ・・・・・・・{Carbon, e.g. diamond-like carbon}

H01L 21/02378 ・・・・・・・{Silicon carbide}

H01L 21/02381 ・・・・・・・{Silicon, silicon germanium, germanium}

H01L 21/02384 ・・・・・・・{including tin}

H01L 21/02387 ・・・・・・{Group 13/15 materials}

H01L 21/02389 ・・・・・・・{Nitrides}

H01L 21/02392 ・・・・・・・{Phosphides}

H01L 21/02395 ・・・・・・・{Arsenides}

H01L 21/02398 ・・・・・・・{Antimonides}

H01L 21/024 ・・・・・・{Group 12/16 materials}

H01L 21/02403 ・・・・・・・{Oxides}

H01L 21/02406 ・・・・・・・{Sulfides}

H01L 21/02409 ・・・・・・・{Selenides}

H01L 21/02411 ・・・・・・・{Tellurides}

H01L 21/02414 ・・・・・・{Oxide semiconducting materials not being Group 12/16 materials, e.g. ternary compounds}

H01L 21/02417 ・・・・・・{Chalcogenide semiconducting materials not being oxides, e.g. ternary compounds}

H01L 21/0242 ・・・・・・{Crystalline insulating materials}

H01L 21/02422 ・・・・・・{Non-crystalline insulating materials, e.g. glass, polymers}

H01L 21/02425 ・・・・・・{Conductive materials, e.g. metallic silicides}

H01L 21/02428 ・・・・・{Structure}

H01L 21/0243 ・・・・・・{Surface structure}

H01L 21/02433 ・・・・・{Crystal orientation}

H01L 21/02436 ・・・・{Intermediate layers between substrates and deposited layers}

H01L 21/02439 ・・・・・{Materials}

H01L 21/02441 ・・・・・・{Group 14 semiconducting materials}

H01L 21/02444 ・・・・・・・{Carbon, e.g. diamond-like carbon}

H01L 21/02447 ・・・・・・・{Silicon carbide}

H01L 21/0245 ・・・・・・・{Silicon, silicon germanium, germanium}

H01L 21/02452 ・・・・・・・{including tin}

H01L 21/02455 ・・・・・・{Group 13/15 materials}

H01L 21/02458 ・・・・・・・{Nitrides}

H01L 21/02461 ・・・・・・・{Phosphides}

H01L 21/02463 ・・・・・・・{Arsenides}

H01L 21/02466 ・・・・・・・{Antimonides}

H01L 21/02469 ・・・・・・{Group 12/16 materials}

H01L 21/02472 ・・・・・・・{Oxides}

H01L 21/02474 ・・・・・・・{Sulfides}

H01L 21/02477 ・・・・・・・{Selenides}

H01L 21/0248 ・・・・・・・{Tellurides}

H01L 21/02483 ・・・・・・{Oxide semiconducting materials not being Group 12/16 materials, e.g. ternary compounds}

H01L 21/02485 ・・・・・・{Other chalcogenide semiconducting materials not being oxides, e.g. ternary compounds}

H01L 21/02488 ・・・・・・{Insulating materials}

H01L 21/02491 ・・・・・・{Conductive materials}

H01L 21/02494 ・・・・・{Structure}

H01L 21/02496 ・・・・・・{Layer structure}

H01L 21/02499 ・・・・・・・{Monolayers}

H01L 21/02502 ・・・・・・・{consisting of two layers}

H01L 21/02505 ・・・・・・・{consisting of more than two layers}

H01L 21/02507 ・・・・・・・・{Alternating layers, e.g. superlattice}

H01L 21/0251 ・・・・・・・{Graded layers}

H01L 21/02513 ・・・・・・{Microstructure}

H01L 21/02516 ・・・・・{Crystal orientation}

H01L 21/02518 ・・・・{Deposited layers}

H01L 21/02521 ・・・・・{Materials}

H01L 21/02524 ・・・・・・{Group 14 semiconducting materials}

H01L 21/02527 ・・・・・・・{Carbon, e.g. diamond-like carbon}

H01L 21/02529 ・・・・・・・{Silicon carbide}

H01L 21/02532 ・・・・・・・{Silicon, silicon germanium, germanium}

H01L 21/02535 ・・・・・・・{including tin}

H01L 21/02538 ・・・・・・{Group 13/15 materials}

H01L 21/0254 ・・・・・・・{Nitrides}

H01L 21/02543 ・・・・・・・{Phosphides}

H01L 21/02546 ・・・・・・・{Arsenides}

H01L 21/02549 ・・・・・・・{Antimonides}

H01L 21/02551 ・・・・・・{Group 12/16 materials}

H01L 21/02554 ・・・・・・・{Oxides}

H01L 21/02557 ・・・・・・・{Sulfides}

H01L 21/0256 ・・・・・・・{Selenides}

H01L 21/02562 ・・・・・・・{Tellurides}

H01L 21/02565 ・・・・・・{Oxide semiconducting materials not being Group 12/16 materials, e.g. ternary compounds}

H01L 21/02568 ・・・・・・{Chalcogenide semiconducting materials not being oxides, e.g. ternary compounds}

H01L 21/0257 ・・・・・{Doping during depositing}

H01L 21/02573 ・・・・・・{Conductivity type}

H01L 21/02576 ・・・・・・・{N-type}

H01L 21/02579 ・・・・・・・{P-type}

H01L 21/02581 ・・・・・・・{Transition metal or rare earth elements}

H01L 21/02584 ・・・・・・{Delta-doping}

H01L 21/02587 ・・・・・{Structure}

H01L 21/0259 ・・・・・・{Microstructure}

H01L 21/02592 ・・・・・・・{amorphous}

H01L 21/02595 ・・・・・・・{polycrystalline}

H01L 21/02598 ・・・・・・・{monocrystalline}

H01L 21/02601 ・・・・・・・{Nanoparticles (fullerenes H01L 51/0046)}

H01L 21/02603 ・・・・・・・{Nanowires}

H01L 21/02606 ・・・・・・・{Nanotubes (carbon nanotubes H01L 51/0048)}

H01L 21/02609 ・・・・・{Crystal orientation}

H01L 21/02612 ・・・・{Formation types}

H01L 21/02614 ・・・・・{Transformation of metal, e.g. oxidation, nitridation}

H01L 21/02617 ・・・・・{Deposition types}

H01L 21/0262 ・・・・・・{Reduction or decomposition of gaseous compounds, e.g. CVD}

H01L 21/02623 ・・・・・・{Liquid deposition}

H01L 21/02625 ・・・・・・・{using melted materials}

H01L 21/02628 ・・・・・・・{using solutions}

H01L 21/02631 ・・・・・・{Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation}

H01L 21/02634 ・・・・・・{Homoepitaxy}

H01L 21/02636 ・・・・・・{Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials}

H01L 21/02639 ・・・・・・・{Preparation of substrate for selective deposition}

H01L 21/02642 ・・・・・・・・{Mask materials other than SiO2 or SiN}

H01L 21/02645 ・・・・・・・・{Seed materials}

H01L 21/02647 ・・・・・・・{Lateral overgrowth}

H01L 21/0265 ・・・・・・・・{Pendeoepitaxy}

H01L 21/02653 ・・・・・・・{Vapour-liquid-solid growth}

H01L 21/02656 ・・・・{Special treatments}

H01L 21/02658 ・・・・・{Pretreatments (cleaning in general H01L 21/02041)}

H01L 21/02661 ・・・・・・{In-situ cleaning}

H01L 21/02664 ・・・・・{Aftertreatments (planarisation in general H01L 21/304)}

H01L 21/02667 ・・・・・・{Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth}

H01L 21/02669 ・・・・・・・{using crystallisation inhibiting elements}

H01L 21/02672 ・・・・・・・{using crystallisation enhancing elements}

H01L 21/02675 ・・・・・・・{using laser beams}

H01L 21/02678 ・・・・・・・・{Beam shaping, e.g. using a mask}

H01L 21/0268 ・・・・・・・・・{Shape of mask}

H01L 21/02683 ・・・・・・・・{Continuous wave laser beam}

H01L 21/02686 ・・・・・・・・{Pulsed laser beam}

H01L 21/02689 ・・・・・・・{using particle beams}

H01L 21/02691 ・・・・・・・{Scanning of a beam}

H01L 21/02694 ・・・・・・{Controlling the interface between substrate and epitaxial layer, e.g. by ion implantation followed by annealing}

H01L 21/02697 ・・・{Forming conducting materials on a substrate}

H01L 21/027 ・・Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L 21/18 or H01L 21/34{(photographic masks or originals per se G03F 1/00; registration or positioning of photographic masks or originals G03F 9/00; photographic cameras G03B; control of position G05D 3/00)}

H01L 21/0271 ・・・{comprising organic layers}

H01L 21/0272 ・・・・{for lift-off processes}

H01L 21/0273 ・・・・{characterised by the treatment of photoresist layers}

H01L 21/0274 ・・・・・{Photolithographic processes}

H01L 21/0275 ・・・・・・{using lasers}

H01L 21/0276 ・・・・・・{using an anti-reflective coating (anti-reflective coating for lithography in general G03F 7/09)}

H01L 21/0277 ・・・・・{Electrolithographic processes}

H01L 21/0278 ・・・・・{Rontgenlithographic or X-ray lithographic processes}

H01L 21/0279 ・・・・・{Ionlithographic processes}

H01L 21/033 ・・・comprising inorganic layers

H01L 21/0331 ・・・・{for lift-off processes}

H01L 21/0332 ・・・・{characterised by their composition, e.g. multilayer masks, materials}

H01L 21/0334 ・・・・{characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane}

H01L 21/0335 ・・・・・{characterised by their behaviour during the process, e.g. soluble masks, redeposited masks}

H01L 21/0337 ・・・・・{characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment}

H01L 21/0338 ・・・・・{Process specially adapted to improve the resolution of the mask}

H01L 21/04 ・・the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer, carrier concentration layer {(multistep processes specially adapted for the manufacture of said devices H01L 29/66007, H01L 29/401; details of semiconductor bodies H01L 29/02)}

H01L 21/0405 ・・・{the devices having semiconductor bodies comprising semiconducting carbon, e.g. diamond, diamond-like carbon (multistep processes for the manufacture of said devices H01L 29/66015)}

  NOTE - This group covers passivation

H01L 21/041 ・・・・{Making n- or p-doped regions}

H01L 21/0415 ・・・・・{using ion implantation}

H01L 21/042 ・・・・N: Changing their shape, e.g. forming recesses (etching of the semiconductor body H01L 21/302)]

H01L 21/0425 ・・・・{Making electrodes}

H01L 21/043 ・・・・・{Ohmic electrodes}

H01L 21/0435 ・・・・・{Schottky electrodes}

H01L 21/044 ・・・・・{Conductor-insulator-semiconductor electrodes}

H01L 21/0445 ・・・{the devices having semiconductor bodies comprising crystalline silicon carbide (multistep processes for the manufacture of said devices H01L 29/66053)}

H01L 21/045 ・・・・{passivating silicon carbide surfaces}

H01L 21/0455 ・・・・{Making n or p doped regions or layers, e.g. using diffusion}

H01L 21/046 ・・・・・{using ion implantation}

  NOTE - Processes where ion implantation of boron and subsequent annealing does not produce a p-doped region are classified elsewhere, e.g. H01L 21/0445

H01L 21/0465 ・・・・・・{using masks}

H01L 21/047 ・・・・・・{characterised by the angle between the ion beam and the crystal planes or the main crystal surface}

H01L 21/0475 ・・・・{Changing the shape of the semiconductor body, e.g. forming recesses, (etching of the semiconductor body H01L 21/302)}

H01L 21/048 ・・・・{Making electrodes}

H01L 21/0485 ・・・・・{Ohmic electrodes}

H01L 21/049 ・・・・・{Conductor-insulator-semiconductor electrodes, e.g. MIS contacts}

H01L 21/0495 ・・・・・{Schottky electrodes}

H01L 21/06 ・・・the devices having semiconductor bodies comprising selenium or tellurium in uncombined form other than as impurities in semiconductor bodies of other materials

  WARNING - This group is no longer used for the classification of new documents as from December 1, 2009.The backlog of this group is being continuously reclassified to H01L 21/02365 and subgroups

H01L 21/08 ・・・・Preparation of the foundation plate

H01L 21/10 ・・・・Preliminary treatment of the selenium or tellurium, its application to the foundation plate, or the subsequent treatment of the combination

H01L 21/101 ・・・・・{Application of the selenium or tellurium to the foundation plate}

H01L 21/103 ・・・・・Conversion of the selenium or tellurium to the conductive state

H01L 21/105 ・・・・・Treatment of the surface of the selenium or tellurium layer after having been made conductive

H01L 21/108 ・・・・・Provision of discrete insulating layers, i.e. non-genetic barrier layers

H01L 21/12 ・・・・Application of an electrode to the exposed surface of the selenium or tellurium after the selenium or tellurium has been applied to the foundation plate

H01L 21/14 ・・・・Treatment of the complete device, e.g. by electroforming to form a barrier

H01L 21/145 ・・・・・Ageing

H01L 21/16 ・・・the devices having semiconductor bodies comprising cuprous oxide or cuprous iodide

  WARNING - This group is no longer used for the classification of new documents as from December 1, 2009.The backlog of this group is being continuously reclassified to H01L 21/02365 and subgroups]

H01L 21/161 ・・・・{Preparation of the foundation plate, preliminary treatment oxidation of the foundation plate, reduction treatment}

H01L 21/162 ・・・・・{Preliminary treatment of the foundation plate}

H01L 21/164 ・・・・・{Oxidation and subsequent heat treatment of the foundation plate (H01L 21/165 takes precedence)}

H01L 21/165 ・・・・・{Reduction of the copper oxide, treatment of the oxide layer}

H01L 21/167 ・・・・・{Application of a non-genetic conductive layer}

H01L 21/168 ・・・・{Treatment of the complete device, e.g. electroforming, ageing}

H01L 21/18 ・・・the devices having semiconductor bodies comprising elements of the fourth group of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials {(H01L 21/041 to H01L 21/0425, H01L 21/045 to H01L 21/048 take precedence)}

  NOTE - This group covers also processes and apparatus which, by using the appropriate technology, are clearly suitable for manufacture or treatment of devices whose bodies comprise elements of the fourth group of the
  Periodic System or AIIIBV compounds, even if the material used is not explicitly specified.

H01L 21/182 ・・・・{Intermixing or interdiffusion or disordering of III-V heterostructures, e.g. IILD}

H01L 21/185 ・・・・{Joining of semiconductor bodies for junction formation}

H01L 21/187 ・・・・・{by direct bonding}

H01L 21/20 ・・・・Deposition of semiconductor materials on a substrate, e.g. epitaxial growth {solid phase epitaxy}

  WARNING - This group is no longer used for the classification of new documents as from December 1, 2009.The backlog of this group is being continuously reclassified to H01L 21/02365 and subgroups

H01L 21/2003 ・・・・・{Characterised by the substrate (H01L 21/203, H01L 21/205, H01L 21/208 take precedence)}

H01L 21/2007 ・・・・・・{Bonding of semiconductor wafers to insulating substrates or to semiconducting substrates using an intermediate insulating layer (H01L 21/2011 takes precedence; bonding of semiconductor wafers to semiconductor wafers for junction formation H01L 21/187)}

H01L 21/2011 ・・・・・・{the substrate being of crystalline insulating material, e.g. sapphire}

H01L 21/2015 ・・・・・・{the substrate being of crystalline semiconductor material, e.g. lattice adaptation, heteroepitaxy}

H01L 21/2018 ・・・・・{Selective epilaxial growth, e.g. simultaneous deposition of mono - and non-mono semiconductor materials}

H01L 21/2022 ・・・・・{Epitaxial regrowth of non-monocrystalline semiconductor materials, e.g. lateral epitaxy by seeded solidification, solid-state crystallization, solid-state graphoepitaxy, explosive crystallization, grain growth in polycrystalline materials}

H01L 21/2026 ・・・・・・{using a coherent energy beam, e.g. laser or electron beam}

H01L 21/203 ・・・・・using physical deposition, e.g. vacuum deposition, sputtering

H01L 21/2033 ・・・・・・{Epitaxial deposition of elements of the Fourth Group of the Periodic System, e.g. Si, Ge}

H01L 21/2036 ・・・・・・{Epitaxial deposition of AIII BV compounds}

H01L 21/205 ・・・・・using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition

H01L 21/2053 ・・・・・・{Expitaxial deposition of elements of the Fourth Group of the Periodic System, e.g. Si, Ge}

H01L 21/2056 ・・・・・・{Epitaxial deposition of AIIIBV compounds}

H01L 21/208 ・・・・・using liquid deposition

H01L 21/2085 ・・・・・・{Epitaxial deposition of AIIIBV compounds}

H01L 21/22 ・・・・Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; {Interactions between two or more impurities; Redistribution of impurities}

H01L 21/2205 ・・・・・{from the substrate during epitaxy, e.g. autodoping; Preventing or using autodoping}

H01L 21/221 ・・・・・{of killers}

H01L 21/2215 ・・・・・・{in AIIIBV compounds}

H01L 21/222 ・・・・・{Lithium-drift}

H01L 21/2225 ・・・・・{Diffusion sources}

H01L 21/223 ・・・・・using diffusion into or out of a solid from or into a gaseous phase {(H01L 21/221 to H01L 21/222 take precedence; diffusion through an applied layer H01L 21/225)}

H01L 21/2233 ・・・・・・{Diffusion into or out of AIIIBV compounds}

H01L 21/2236 ・・・・・・{from or into a plasma phase}

H01L 21/225 ・・・・・using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer {(H01L 21/221 to H01L 21/222 take precedence)}

H01L 21/2251 ・・・・・・{Diffusion into or out of group IV semiconductors}

H01L 21/2252 ・・・・・・・{using predeposition of impurities into the semiconductor surface, e.g. from a gaseous phase}

H01L 21/2253 ・・・・・・・・{by ion implantation}

  NOTE - In groups H01L 21/2254 to H01L 21/2257 one should consider the main compositional parts of the applied layer just before the diffusion step

H01L 21/2254 ・・・・・・・{from or through or into an applied layer, e.g. photoresist, nitrides}

H01L 21/2255 ・・・・・・・・{the applied layer comprising oxides only, e.g. P2O5, PSG, H3BO3, doped oxides}

H01L 21/2256 ・・・・・・・・・{through the applied layer}

H01L 21/2257 ・・・・・・・・{the applied layer being silicon or silicide or SIPOS, e.g. polysilicon, porous silicon}

H01L 21/2258 ・・・・・・{Diffusion into or out of AIIIBV compounds}

H01L 21/228 ・・・・・using diffusion into or out of a solid from or into a liquid phase, e.g. alloy diffusion processes {(H01L 21/221 to H01L 21/222 take precedence)}

H01L 21/24 ・・・・Alloying of impurity materials, e.g. doping materials, electrode materials, with a semiconductor body {(H01L 21/182 takes precedence)}

H01L 21/242 ・・・・・{Alloying of doping materials with AIIIBV compounds}

H01L 21/244 ・・・・・{Alloying of electrode materials}

H01L 21/246 ・・・・・・{with AIIIBV compounds}

H01L 21/248 ・・・・・{Apparatus specially adapted for the alloying}

H01L 21/26 ・・・・Bombardment with radiation {(H01L 21/3105 takes precedence)}

H01L 21/2605 ・・・・・{using natural radiation, e.g. alpha, beta or gamma radiation}

H01L 21/261 ・・・・・to produce a nuclear reaction transmuting chemical elements

H01L 21/263 ・・・・・with high-energy radiation (H01L 21/261 takes precedence)

H01L 21/2633 ・・・・・・{for etching, e.g. sputteretching}

H01L 21/2636 ・・・・・・{for heating, e.g. electron beam heating}

H01L 21/265 ・・・・・・producing ion implantation (ion beam tubes for localised treatment H01J 37/30)

  WARNING - H01L 21/265C, H01L 21/2658 and H01L 21/26593 are not complete, see provisionally also H01L 21/26506 and H01L 21/2654 and their subgroups

H01L 21/26506 ・・・・・・・{in group IV semiconductors}

H01L 21/26513 ・・・・・・・・{of electrically active species}

H01L 21/2652 ・・・・・・・・・{Through-implantation}

H01L 21/26526 ・・・・・・・・{Recoil-implantation}

H01L 21/26533 ・・・・・・・・{of electrically inactive species in silicon to make buried insulating layers}

H01L 21/2654 ・・・・・・・{in AIIIBV compounds}

H01L 21/26546 ・・・・・・・・{of electrically active species}

H01L 21/26553 ・・・・・・・・・{Through-implantation}

H01L 21/2656 ・・・・・・・・{characterised by the implantation of both electrically active and inactive species in the same semiconductor region to be doped}

H01L 21/26566 ・・・・・・・{of a cluster, e.g. using a gas cluster ion beam}

H01L 21/2658 ・・・・・・・{of a molecular ion, e.g. decaborane}

H01L 21/26586 ・・・・・・・{characterised by the angle between the ion beam and the crystal planes or the main crystal surface}

H01L 21/26593 ・・・・・・・{at a temperature lower than room temperature}

H01L 21/266 ・・・・・・・using masks {(H01L 21/26586 takes precedence)}

H01L 21/268 ・・・・・・using electromagnetic radiation, e.g. laser radiation

H01L 21/2683 ・・・・・・・{using X-ray lasers}

H01L 21/2686 ・・・・・・・{using incoherent radiation}

H01L 21/28 ・・・・Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in H01L 21/20 to H01L 21/268; {etching for patterning the electrodes H01L 21/311 and H01L 21/3213}

H01L 21/28008 ・・・・・{Making conductor-insulator-semiconductor electrodes}

H01L 21/28017 ・・・・・・{the insulator being formed after the semiconductor body, the semiconductor being silicon}

  NOTE - This group covers deposition of the insulators, including epitaxial insulators, and the conductors within the same process or chamber

H01L 21/28026 ・・・・・・・{characterised by the conductor (H01L 21/28176 takes precedence)}

  NOTE - When the final conductor comprises a superconductor, subject matter is not classified according to the subgroups H01L 21/28035 to H01L 21/28097. Instead, it is classified in H01L 21/28026

H01L 21/28035 ・・・・・・・・{the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities (H01L 21/28105 takes precedence)}

  NOTE - A very thin, e.g. silicon, adhesion or seed layer is not considered as the one next to the insulator

H01L 21/28044 ・・・・・・・・・{the conductor comprising at least another non-silicon conductive layer}

H01L 21/28052 ・・・・・・・・・・{the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer (formed by metal ion implantation H01L 21/28044)}

H01L 21/28061 ・・・・・・・・・・{the conductor comprising a metal or metallic silicode formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction (H01L 21/28052 takes precedence)}

  NOTE - To assess the coverage of groups H01L 21/28052 and H01L 21/28061, barrier layers, e.g. TaSiN, are not considered

H01L 21/2807 ・・・・・・・・{the final conductor layer next to the insulator being Si or Ge or C and their alloys except Si}

H01L 21/28079 ・・・・・・・・{the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al}

H01L 21/28088 ・・・・・・・・{the final conductor layer next to the insulator being a composite, e.g. TiN}

H01L 21/28097 ・・・・・・・・{the final conductor layer next to the insulator being a metallic silicide}

H01L 21/28105 ・・・・・・・・{the final conductor next to the insulator having a lateral composition or doping variation, or being formed laterally by more than one deposition step}

H01L 21/28114 ・・・・・・・・{characterised by the sectional shape, e.g. T, inverted-T}

  NOTE - Documents are also classified in groups H01L 21/28035 to H01L 21/28105 when the composition is also relevant

H01L 21/28123 ・・・・・・・・{Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects}

H01L 21/28132 ・・・・・・・・・{conducting part of electrode is difined by a sidewall spacer or a similar technique, e.g. oxidation under mask, plating}

H01L 21/28141 ・・・・・・・・・{insulating part of the electrode is defined by a sidewall spacer, e.g. dummy spacer, or a similar technique, e.g. oxidation under mask, plating}

H01L 21/2815 ・・・・・・・・・{part or whole of the electrode is a sidewall spacer or made by a similar technique, e.g. transformation under mask, plating}

H01L 21/28158 ・・・・・・・{Making the insulator}

H01L 21/28167 ・・・・・・・・{on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation}

H01L 21/28176 ・・・・・・・・・{with a treatment, e.g. annealing, after the formation of the definitive gate conductor}

H01L 21/28185 ・・・・・・・・・{with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor}

H01L 21/28194 ・・・・・・・・・{by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition (H01L 21/28202 takes precedence)}

H01L 21/28202 ・・・・・・・・・{in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN}

H01L 21/28211 ・・・・・・・・・{in a gaseous ambient using an oxygen or a water vapour, e.g. RTO, possibly through a layer (H01L 21/28194 and H01L 21/28202 take precedence)}{Note: thin oxidation layers used as a barrier layer or as a buffer layer, e.g. before the fomation of a high-k insulator, are classified here only if important per se}

H01L 21/2822 ・・・・・・・・{with substrate doping, e.g. N, Ge, C implantation, before formation of the insulator}

H01L 21/28229 ・・・・・・・・{by deposition of a layer, e.g. metal, metal compound or poysilicon, followed by transformation thereof into an insulating layer}

H01L 21/28238 ・・・・・・・・{with sacrificial oxide}

H01L 21/28247 ・・・・・・・{passivation or protection of the electrode, e.g. using re-oxidation}

H01L 21/28255 ・・・・・・{the insulator being formed after the semiconductor body, the semiconductor belonging to the fourth group and not being elemental silicon, e.g. Ge, SiGe, SiGeC}

H01L 21/28264 ・・・・・・{the insulator being formed after the semiconductor body, the semiconductor being a III-V compound}

H01L 21/28273 ・・・・・{Making conductor-insulator-conductor-insulator-semiconductor electrodes (H01L 21/28291 takes precedence)}

H01L 21/28282 ・・・・・{comprising a charge trapping insulator}

H01L 21/28291 ・・・・・{comprising a layer which is used for its ferroelectric properties}

H01L 21/283 ・・・・・Deposition of conductive or insulating materials for electrodes {conducting electric current}

H01L 21/285 ・・・・・・from a gas or vapour, e.g. condensation

H01L 21/28506 ・・・・・・・{of conductive layers}

H01L 21/28512 ・・・・・・・・{on semiconductor bodies comprising elements of the fourth group of the Periodic System}

H01L 21/28518 ・・・・・・・・・{the conductive layers comprising silicides (H01L 21/28537 takes precedence)}

H01L 21/28525 ・・・・・・・・・{the conductive layers comprising semiconducting material (H01L 21/28518, H01L 21/28537 take precedence)}

H01L 21/28531 ・・・・・・・・・・{Making of side-wall contacts}

H01L 21/28537 ・・・・・・・・・{Deposition of Schottky electrodes}

H01L 21/2855 ・・・・・・・・・{by physical means, e.g. sputtering, evaporation (H01L 21/28518 to H01L 21/28537 and H01L 21/28568 take precedence)}

H01L 21/28556 ・・・・・・・・・{by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD (H01L 21/28518 to H01L 21/28537 and H01L 21/28568 take precedence)}

H01L 21/28562 ・・・・・・・・・・{Selective deposition}

H01L 21/28568 ・・・・・・・・・{the conductive layers comprising transition metals (H01L 21/28518 takes precedence)}

H01L 21/28575 ・・・・・・・・{on semiconductor bodies comprising AIIIBV compounds}

H01L 21/28581 ・・・・・・・・・{Deposition of Schottky electrodes}

H01L 21/28587 ・・・・・・・・・{characterised by the sectional shape, e.g. T, inverted T}

H01L 21/28593 ・・・・・・・・・・{asymmetrical sectional shape}

H01L 21/288 ・・・・・・from a liquid, e.g. electrolytic deposition

H01L 21/2885 ・・・・・・・{using an external electrical current, i.e. electro-deposition}

H01L 21/30 ・・・・Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L 21/20 to H01L 21/26(manufacture of electrodes thereon H01L 21/28)

H01L 21/3003 ・・・・・{Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma}

H01L 21/3006 ・・・・・・{of AIIIBV compounds}

H01L 21/302 ・・・・・to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting

H01L 21/304 ・・・・・・Mechanical treatment, e.g. grinding, polishing, cutting {(H01L 21/30625 takes precedence)}

H01L 21/3043 ・・・・・・・{Making grooves, e.g. cutting}

H01L 21/3046 ・・・・・・・{using blasting, e.g. sand-blasting (H01L 21/2633 takes precedence)}

H01L 21/306 ・・・・・・Chemical or electrical treatment, e.g. electrolytic etching (to form insulating layers H01L 21/31)

H01L 21/30604 ・・・・・・・{Chemical etching}

H01L 21/30608 ・・・・・・・・{Anisotropic liquid etching (H01L 21/3063 takes precedence)}

H01L 21/30612 ・・・・・・・・{Etching of AIIIBV compounds}

H01L 21/30617 ・・・・・・・・・{Anisotropic liquid etching}

H01L 21/30621 ・・・・・・・・・{Vapour phase etching}

H01L 21/30625 ・・・・・・・{With simultaneous mechanical treatment, e.g. mechanico-chemical polishing}

H01L 21/3063 ・・・・・・・Electrolytic etching

H01L 21/30635 ・・・・・・・・{of A three - B five compounds}

H01L 21/3065 ・・・・・・・Plasma etching; Reactive-ion etching

H01L 21/30655 ・・・・・・・・{comprising alternated and repeated etching and passivation steps, e.g. Bosch process}

H01L 21/308 ・・・・・・・using masks (H01L 21/3063, H01L 21/3065 take precedence)

H01L 21/3081 ・・・・・・・・{characterised by their composition, e.g. multilayer masks, materials}

H01L 21/3083 ・・・・・・・・{characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane}

H01L 21/3085 ・・・・・・・・・{characterised by their behaviour during the process, e.g. soluble masks, redeposited masks}

H01L 21/3086 ・・・・・・・・・{characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment}

H01L 21/3088 ・・・・・・・・・{Process specially adapted to improve the resolution of the mask}

H01L 21/31 ・・・・・to form insulating layers thereon, e.g. for masking or by using photolithographic techniques (layers forming electrodes H01L 21/28; encapsulating layers H01L 21/56); After treatment of these layers

H01L 21/3105 ・・・・・・After-treatment

H01L 21/31051 ・・・・・・・{Planarisation of the insulating layers (H01L 21/31058 takes precedence)}

H01L 21/31053 ・・・・・・・・{involving a dielectric removal step}

H01L 21/31055 ・・・・・・・・・{the removal being a chemical etching step, e.g. dry etching (etching per se H01L 21/311)}

H01L 21/31056 ・・・・・・・・・・{the removal being a selective chemical etching step, e.g. selective dry etching through a mask}

H01L 21/31058 ・・・・・・・{of organic layers}

H01L 21/311 ・・・・・・・Etching the insulating layers {by chemical or physical means (H01L 21/31058 takes precedence)}

H01L 21/31105 ・・・・・・・・{Etching inorganic layers}

H01L 21/31111 ・・・・・・・・・{by chemical means}

H01L 21/31116 ・・・・・・・・・・{by dry-etching}

H01L 21/31122 ・・・・・・・・・・・{of layers not containing Si, e.g. PZT, Al2O3}

H01L 21/31127 ・・・・・・・・{Etching organic layers}

H01L 21/31133 ・・・・・・・・・{by chemical means}

H01L 21/31138 ・・・・・・・・・・{by dry-etching}

H01L 21/31144 ・・・・・・・・{using masks}

H01L 21/3115 ・・・・・・・Doping the insulating layers

H01L 21/31155 ・・・・・・・・{by ion implantation}

H01L 21/312 ・・・・・・Organic layers, e.g. photoresist (H01L 21/3105, H01L 21/32 take precedence; {photoresists per se G03C})

  WARNING - This group and subgroups are no longer used for the classification of new documents as from May 1, 2011. The backlog of this group is being continuously reclassified to H01L 21/02107 and subgroups thereof

H01L 21/3121 ・・・・・・・{Layers comprising organo-silicon compounds}

H01L 21/3122 ・・・・・・・・{layers comprising polysiloxane compounds}

H01L 21/3124 ・・・・・・・・・{layers comprising hydrogen silsesquioxane}

H01L 21/3125 ・・・・・・・・{layers comprising silazane compounds}

H01L 21/3127 ・・・・・・・{Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene}

H01L 21/3128 ・・・・・・・{by Langmuir-Blodgett techniques}

H01L 21/314 ・・・・・・Inorganic layers (H01L 21/3105, H01L 21/32 take precedence)

  WARNING - This group and subgroups are no longer used for the classification of new documents as from May 1, 2011. The backlog of this group is being continuously reclassified to H01L 21/02107 and subgroups thereof

H01L 21/3141 ・・・・・・・{Deposition using atomic layer deposition techniques (ALD)}

H01L 21/3142 ・・・・・・・・{of nano-laminates, e.g. alternating layers of Al203-Hf02}

H01L 21/3143 ・・・・・・・{composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers}

H01L 21/3144 ・・・・・・・・{on silicon}

H01L 21/3145 ・・・・・・・・{formed by deposition from a gas or vapour}

H01L 21/3146 ・・・・・・・{Carbon layers, e.g. diamond-like layers}

H01L 21/3147 ・・・・・・・{Epitaxial deposition of insulating materials}

H01L 21/3148 ・・・・・・・{Silicon Carbide layers}

H01L 21/316 ・・・・・・・composed of oxides or glassy oxides or oxide based glass

  WARNING - This group and subgroups are no longer used for the classification of new documents as from May 1, 2011. The backlog of this group is being continuously reclassified to H01L 21/02107 and subgroups thereof

H01L 21/31604 ・・・・・・・・{Deposition from a gas or vapour (H01L 21/31691, H01L 21/31695 take precedence)}

H01L 21/31608 ・・・・・・・・・{Deposition of SiO2 (H01L 21/31625, H01L 21/31629 and H01L 21/31633 take precedence)}

H01L 21/31612 ・・・・・・・・・・{on a silicon body}

H01L 21/31616 ・・・・・・・・・{Deposition of Al2O3}

H01L 21/3162 ・・・・・・・・・・{on a silicon body}

H01L 21/31625 ・・・・・・・・・{Deposition of boron or phosphorus doped silicon oxide, e.g. BSG, PSG, BPSG}

H01L 21/31629 ・・・・・・・・・{Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide}

H01L 21/31633 ・・・・・・・・・{Deposition of carbon doped silicon oxide, e.g. SiOC}

H01L 21/31637 ・・・・・・・・・{Deposition of Tantalum oxides, e.g. Ta2O5}

H01L 21/31641 ・・・・・・・・・{Deposition of Zirconium oxides, e.g. ZrO2}

H01L 21/31645 ・・・・・・・・・{Deposition of Hafnium oxides, e.g. HfO2}

H01L 21/3165 ・・・・・・・・{formed by oxidation (H01L 21/31691, H01L 21/31695 take precedence)}

H01L 21/31654 ・・・・・・・・・{of semiconductor materials, e.g. the body itself}

H01L 21/31658 ・・・・・・・・・・{by thermal oxidation, e.g. of SiGe}

H01L 21/31662 ・・・・・・・・・・・{of silicon in uncombined form}

H01L 21/31666 ・・・・・・・・・・・{of AIII BV compounds}

H01L 21/3167 ・・・・・・・・・・{of anodic oxidation}

H01L 21/31675 ・・・・・・・・・・・{of silicon}

H01L 21/31679 ・・・・・・・・・・・{of AIII BV compounds}

H01L 21/31683 ・・・・・・・・・{of metallic layers, e.g. Al deposited on the body, e.g. formation of multi-layer insulating structures}

H01L 21/31687 ・・・・・・・・・・{by anodic oxidation}

H01L 21/31691 ・・・・・・・・{with perovskite structure}

H01L 21/31695 ・・・・・・・・{Deposition of porous oxides or porous glassy oxides or oxide based porous glass}

H01L 21/318 ・・・・・・・composed of nitrides

  WARNING - This group and subgroups are no longer used for the classification of new documents as from May 1, 2011. The backlog of this group is being continuously reclassified to H01L 21/02107 and subgroups thereof

H01L 21/3185 ・・・・・・・・{of siliconnitrides}

H01L 21/32 ・・・・・・using masks

H01L 21/3205 ・・・・・・Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers (manufacture of electrodes H01L 21/28)

H01L 21/32051 ・・・・・・・{Deposition of metallic or metal-silicide layers}

H01L 21/32053 ・・・・・・・・{of metal-silicide layers}

H01L 21/32055 ・・・・・・・{Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers}

H01L 21/32056 ・・・・・・・{Deposition of conductive or semi-conductive organic layers (H01L 21/32058 takes precedence)}

H01L 21/32058 ・・・・・・・{Deposition of supra-conductive layers}

H01L 21/321 ・・・・・・・After treatment

H01L 21/32105 ・・・・・・・・{Oxidation of silicon-containing layers }

H01L 21/3211 ・・・・・・・・{Nitridation of silicon-containing layers }

H01L 21/32115 ・・・・・・・・{Planarisation}

H01L 21/3212 ・・・・・・・・・{by chemical mechanical polishing (CMP)}

H01L 21/32125 ・・・・・・・・・・{by simultaneously passing an electrical current, i.e. electrochemical mechanical polishing, e.g. ECMP }

H01L 21/3213 ・・・・・・・・Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer

H01L 21/32131 ・・・・・・・・・{by physical means only}

H01L 21/32132 ・・・・・・・・・・{of silicon-containing layers}

H01L 21/32133 ・・・・・・・・・{by chemical means only}

H01L 21/32134 ・・・・・・・・・・{by liquid etching only}

H01L 21/32135 ・・・・・・・・・・{by vapour etching only}

H01L 21/32136 ・・・・・・・・・・・{using plasmas}

H01L 21/32137 ・・・・・・・・・・・・{of silicon-containing layers}

H01L 21/32138 ・・・・・・・・・・・{pre- or post-treatments, e.g. anti-corrosion processes}

H01L 21/32139 ・・・・・・・・・{using masks}

H01L 21/3215 ・・・・・・・・Doping the layers

H01L 21/32155 ・・・・・・・・・{Doping polycristalline - or amorphous silicon layers}

H01L 21/322 ・・・・・to modify their internal properties, e.g. to produce internal imperfections

H01L 21/3221 ・・・・・・{of silicon bodies, e.g. for gettering}

H01L 21/3223 ・・・・・・・{using cavities formed by hydrogen or noble gas ion implantation}

H01L 21/3225 ・・・・・・・{Thermally inducing defects using oxygen present in the silicon body for intrinsic gettering (H01L 21/3226 takes precedence)}

  NOTE - Gettering using both extrinsic and intrinsic gettering techniques is classified in both H01L 21/3221 and H01L 21/3225

H01L 21/3226 ・・・・・・・{of silicon on insulator}

H01L 21/3228 ・・・・・・{of AIIIBV compounds, e.g. to make them semi-insulating}

H01L 21/324 ・・・・・Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering (H01L 21/20 to H01L 21/288 and H01L 21/302 to H01L 21/322 take precedence)

H01L 21/3242 ・・・・・・{for the formation of PN junctions without addition of impurities (H01L 21/22 takes precedence)}

H01L 21/3245 ・・・・・・{of III-V compounds}

H01L 21/3247 ・・・・・・{for altering the shape, e.g. smoothing the surface}{Warning: Not complete, see provisionally also H01L 21/324}

H01L 21/326 ・・・・・Application of electric currents or fields, e.g. for electroforming (H01L 21/20 to H01L 21/288 and H01L 21/302 to H01L 21/324 take precedence)

H01L 21/34 ・・・the devices having semiconductor bodies not provided for in groups H01L 21/0405, H01L 21/0445}, H01L 21/06, H01L 21/16 and H01L 21/18 with or without impurities, e.g. doping materials

H01L 21/36 ・・・・Deposition of semiconductor materials on a substrate, e.g. epitaxial growth

  WARNING - This group is no longer used for the classification of new documents as from December 1, 2009.The backlog of this group is being continuously reclassified to H01L 21/02365 and subgroups

H01L 21/363 ・・・・・using physical deposition, e.g. vacuum deposition, sputtering

H01L 21/365 ・・・・・using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition

H01L 21/368 ・・・・・using liquid deposition

H01L 21/38 ・・・・Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions

H01L 21/383 ・・・・・using diffusion into or out of a solid from or into a gaseous phase

H01L 21/385 ・・・・・using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer

H01L 21/388 ・・・・・using diffusion into or out of a solid from or into a liquid phase, e.g. alloy diffusion processes

H01L 21/40 ・・・・Alloying of impurity materials, e.g. doping materials, electrode materials, with a semiconductor body

H01L 21/42 ・・・・Bombardment with radiation

H01L 21/423 ・・・・・with high-energy radiation

H01L 21/425 ・・・・・・producing ion implantation (ion beam tubes for localized treatment H01J 37/30)

H01L 21/426 ・・・・・・・using masks

H01L 21/428 ・・・・・・using electromagnetic radiation, e.g. laser radiation

H01L 21/44 ・・・・Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L 21/36 to H01L 21/428

H01L 21/441 ・・・・・Deposition of conductive or insulating materials for electrodes

H01L 21/443 ・・・・・・from a gas or vapour, e.g. condensation

H01L 21/445 ・・・・・・from a liquid, e.g. electrolytic deposition

H01L 21/447 ・・・・・involving the application of pressure, e.g. thermo-compression bonding

H01L 21/449 ・・・・・involving the application of mechanical vibrations, e.g. ultrasonic vibrations

H01L 21/46 ・・・・Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L 21/428(manufacture of electrodes thereon H01L 21/44)

H01L 21/461 ・・・・・to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting

H01L 21/463 ・・・・・・Mechanical treatment, e.g. grinding, ultrasonic treatment

H01L 21/465 ・・・・・・Chemical or electrical treatment, e.g. electrolytic etching (to form insulating layers H01L 21/469)

H01L 21/467 ・・・・・・・using masks

H01L 21/469 ・・・・・・to form insulating layers thereon, e.g. for masking or by using photolithographic techniques (layers forming electrodes H01L 21/44; encapsulating layers H01L 21/56); After-treatment of these layers

H01L 21/47 ・・・・・・・organic layers, e.g. photoresist (H01L 21/475, H01L 21/4757 take precedence)

H01L 21/471 ・・・・・・・Inorganic layers (H01L 21/475, H01L 21/4757 take precedence)

H01L 21/473 ・・・・・・・・composed of oxides or glassy oxides or oxide based glass

H01L 21/475 ・・・・・・・using masks

H01L 21/4757 ・・・・・・・After-treatment

H01L 21/47573 ・・・・・・・・{Etching the layer}

H01L 21/47576 ・・・・・・・・{Doping the layer}

H01L 21/4763 ・・・・・・Deposition of non-insulating, e.g. conductive -, resistive -, layers on insulating layers; After-treatment of these layers (manufacture of electrodes H01L 21/28, {H01L 21/44})

H01L 21/47635 ・・・・・・・{After-treatment of these layers}

H01L 21/477 ・・・・・Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering (H01L 21/36 to H01L 21/449 and H01L 21/461 to H01L 21/475 take precedence)

H01L 21/479 ・・・・・Application of electric currents or fields, e.g. for electroforming (H01L 21/36 to H01L 21/449 and H01L 21/461 to H01L 21/477 take precedence)

H01L 21/48 ・・・Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L 21/06 to H01L 21/326({apparatus therefor H01L 21/67005; insulative sealing of leads in bases H01L 21/50}; containers, encapsulations, fillings, mountings per se H01L 23/00; {marking of parts H01L 23/544})

  NOTE - In this group, the expression "treatment" covers also the removal of leads from parts

H01L 21/4803 ・・・・{Insulating or insulated parts, e.g. mountings, containers, diamond heatsinks (H01L 21/4846 takes precedence; printed circuit boards H05K 1/00)}

H01L 21/4807 ・・・・・{Ceramic parts}

H01L 21/481 ・・・・・{Insulating layers on insulating parts, with or without metallisation}

H01L 21/4814 ・・・・{Conductive parts}

H01L 21/4817 ・・・・・{for containers, e.g. caps (H01L 21/4871 takes precedence)}

H01L 21/4821 ・・・・・{Flat leads, e.g. lead frames with or without insulating supports}

H01L 21/4825 ・・・・・・{Connection or disconnection of other leads to or from flat leads, e.g. wires, bumps, other flat leads}

H01L 21/4828 ・・・・・・{Etching (etching for cleaning without patterning H01L 21/4835)}

H01L 21/4832 ・・・・・・・{Etching a temporary substrate after encapsulation process to form leads}

H01L 21/4835 ・・・・・・{Cleaning, e.g. removing of solder}

H01L 21/4839 ・・・・・・{Assembly of a flat lead with an insulating support, e.g. for TAB}

H01L 21/4842 ・・・・・・{Mechanical treatment, e.g. punching, cutting, deforming, cold welding}

H01L 21/4846 ・・・・・{Leads on or in insulating or insulated substrates, e.g. metallisation (H01L 21/4821 takes precedence; metallisation of ceramics in general C04B 41/51; printed circuits H05K 3/00)}

H01L 21/485 ・・・・・・{Adaptation of interconnections, e.g. engineering charges, repair techniques}

H01L 21/4853 ・・・・・・{Connection or disconnection of other leads to or from a metallisation, e.g. pins, wires, bumps}

H01L 21/4857 ・・・・・・{Multilayer substrates (multilayer metallisation on monolayer substrate H01L 21/4846)}

H01L 21/486 ・・・・・・{Via connections through the substrate with or without pins}

H01L 21/4864 ・・・・・・{Cleaning, e.g. removing of solder}

H01L 21/4867 ・・・・・・{Applying pastes or inks, e.g. screen printing (H01L 21/486 takes precedence)}

H01L 21/4871 ・・・・・{Bases, plates or heatsinks}

H01L 21/4875 ・・・・・・{Connection or disconnection of other leads to or from bases or plates}

H01L 21/4878 ・・・・・・{Mechanical treatment, e.g. deforming}

H01L 21/4882 ・・・・・・{Assembly of heatsink parts}

H01L 21/4885 ・・・・・{Wire-like parts or pins (wire ball formation B23K 20/00; methods related to connecting semiconductor or other solid state bodies H01L 24/00)}

  WARNING - The documents of this group and subgroups dealing with methods for connecting semiconductor or other solid state bodies are being continuously reclassified to H01L 24/43

H01L 21/4889 ・・・・・・{Connection or disconnection of other leads to or from wire-like parts, e.g. wires}

H01L 21/4892 ・・・・・・{Cleaning}

H01L 21/4896 ・・・・・・{Mechanical treatment, e.g. cutting, bending}

H01L 21/50 ・・・Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L 21/06 to H01L 21/326, {e.g. sealing of a cap to a base of a container}

  NOTE - Arrangements for connecting or disconnecting semiconductor or other solid state bodies, or methods related thereto, other than those arrangements or methods covered by the following subgroups, are covered by H01L 24/00

H01L 21/52 ・・・・Mounting semiconductor bodies in containers

H01L 21/54 ・・・・Providing fillings in containers, e.g. gas fillings

H01L 21/56 ・・・・Encapsulations, e.g. encapsulation layers, coatings

H01L 21/561 ・・・・・{Batch processing}

H01L 21/563 ・・・・・{Encapsulation of active face of flip-chip device, e.g. underfilling or underencapsulation of flip-chip, encapsulation preform on chip or mounting substrate}

H01L 21/565 ・・・・・{Moulds}

H01L 21/566 ・・・・・・{Release layers for moulds, e.g. release layers, layers against residue during moulding}

H01L 21/568 ・・・・・{Temporary substrate used as encapsulation process aid (H01L 21/4832 and H01L 21/566 take precedence)}

H01L 21/58 ・・・・{Insulative} mounting semiconductor devices on supports {(H01L 21/563,H01L 23/49513 take precedence)}

  WARNING - This group is no longer used for the classification of new documents as from June 1, 2010. The backlog of this group is being continuously reclassified to H01L 24/80 and subgroups

H01L 21/62 ・・the devices having no potential-jump barriers or surface barriers

H01L 21/64 ・Manufacture or treatment of solid state devices other than semiconductor devices, or of parts thereof, not peculiar to a single device provided for in groups H01L 31/00 to H01L 51/00

H01L 21/67 ・Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; {Apparatus not specifically provided for elsewhere (processes per se H01L 21/30, H01L 21/46, H01L 23/00; simple temporary support means, e.g. using adhesives, electric or magnetic means H01L 21/68, H01L 21/302; apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies and for methods related thereto H01L 24/74;)}

  NOTE - In this subgroup the term substrate designates a semiconductor or electric solid state device or component, or a wafer

H01L 21/67005 ・・{Apparatus not specifically provided for elsewhere (processes per se H01L 21/30, H01L 21/46, H01L 23/00; simple temporary support means, e.g. using adhesives, electric or magnetic means H01L 21/68, H01L 21/302)}

H01L 21/67011 ・・・{Apparatus for manufacture or treatment (processes H01L 21/30, H01L 21/46; for production or after-treatment of single crystals or homogeneous polycrystalline material C30B 35/00)}

H01L 21/67017 ・・・・{Apparatus for fluid treatment (H01L 21/67126, H01L 21/6715 take precedence)}

H01L 21/67023 ・・・・・{for general liquid treatment, e.g. etching followed by cleaning}

H01L 21/67028 ・・・・・{for cleaning followed by drying, rinsing, stripping, blasting or the like}

H01L 21/67034 ・・・・・・{for drying}

H01L 21/6704 ・・・・・・{for wet cleaning or washing}

H01L 21/67046 ・・・・・・・{using mainly scrubbing means, e.g. brushes}

H01L 21/67051 ・・・・・・・{using mainly spraying means, e.g. nozzles}

H01L 21/67057 ・・・・・・・{with the semiconductor substrates being dipped in baths or vessels}

H01L 21/67063 ・・・・・{for etching}

H01L 21/67069 ・・・・・・{for drying etching}

H01L 21/67075 ・・・・・・{for wet etching}

H01L 21/6708 ・・・・・・・{using mainly spraying means, e.g. nozzles}

H01L 21/67086 ・・・・・・・{with the semiconductor substrates being dipped in baths or vessels}

H01L 21/67092 ・・・・{Apparatus for mechanical treatment (or grinding or cutting, see the relevant groups in subclasses B24B or B28D)}

H01L 21/67098 ・・・・{Apparatus for thermal treatment}

H01L 21/67103 ・・・・・{mainly by conduction}

H01L 21/67109 ・・・・・{mainly by convection}

H01L 21/67115 ・・・・・{mainly by radiation}

H01L 21/67121 ・・・・{Apparatus for making assemblies not otherwise provided for, e.g. package constructions}

H01L 21/67126 ・・・・{Apparatus for sealing, encapsulating, glassing, decapsulating or the like (processes H01L 23/02, H01L 23/28)}

H01L 21/67132 ・・・・{Apparatus for placing on an insulating substrate, e.g. tape}

H01L 21/67138 ・・・・{Apparatus for wiring semiconductor or solid state device}

H01L 21/67144 ・・・・{Apparatus for mounting on conductive members, e.g. leadframes or conductors on insulating substrates}

H01L 21/6715 ・・・・{Apparatus for applying a liquid, a resin, an ink or the like (H01L 21/67126 takes precedence)}

H01L 21/67155 ・・・・{Apparatus for manufacturing or treating in a plurality of work-stations}

H01L 21/67161 ・・・・・{characterized by the layout of the process chambers}

H01L 21/67167 ・・・・・・{surrounding a central transfer chamber}

H01L 21/67173 ・・・・・・{in-line arrangement}

H01L 21/67178 ・・・・・・{vertical arrangement}

H01L 21/67184 ・・・・・{characterized by the presence of more than one transfer chamber}

H01L 21/6719 ・・・・・{characterized by the construction of the processing chambers, e.g. modular processing chambers}

H01L 21/67196 ・・・・・{characterized by the construction of the transfer chamber}

H01L 21/67201 ・・・・・{characterized by the construction of the load-lock chamber}

H01L 21/67207 ・・・・・{comprising a chamber adapted to a particular process}

H01L 21/67213 ・・・・・・{comprising at least one ion or electron beam chamber (coating by ion implantation C23C; ion or electron beam tubes H01J 37/00)}

H01L 21/67219 ・・・・・・{comprising at least one polishing chamber (polishing apparatuses B24B)}

H01L 21/67225 ・・・・・・{comprising at least one lithography chamber (lithographic apparatuses G03F 7/00)}

H01L 21/6723 ・・・・・・{comprising at least one plating chamber (electroless plating apparatuses C23C, electroplating apparatuses C25D)}

H01L 21/67236 ・・・・・{the substrates being processed being not semiconductor wafers, e.g. leadframes or chips}

H01L 21/67242 ・・・{Apparatus for monitoring, sorting or marking (testing or measuring during manufacture H01L 22/00, marks per se H01L 23/544; testing individual semiconductor devices G01R 31/26)}

H01L 21/67248 ・・・・{Temperature monitoring}

H01L 21/67253 ・・・・{Process monitoring, e.g. flow or thickness monitoring}

H01L 21/67259 ・・・・{Position monitoring, e.g. misposition detection or presence detection}

H01L 21/67265 ・・・・・{of substrates stored in a container, a magazine, a carrier, a boat or the like}

H01L 21/67271 ・・・・{Sorting devices}

H01L 21/67276 ・・・・{Production flow monitoring, e.g. for increasing throughput (program-control systems per se G05B 19/00, e.g. total factory control G05B 19/418)}

H01L 21/67282 ・・・・{Marking devices}

H01L 21/67288 ・・・・{Monitoring of warpage, curvature, damage, defects or the like}

H01L 21/67294 ・・・・{using identification means, e.g. labels on substrates or labels on containers}

H01L 21/673 ・・using specially adapted carriers {or holders; Fixing the workpieces on such carriers or holders (holders for supporting a complete device in operation H01L 23/32)}

H01L 21/67303 ・・・{Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements}

H01L 21/67306 ・・・・{characterized by a material, a roughness, a coating or the like}

H01L 21/67309 ・・・・{characterized by the substrate support}

H01L 21/67313 ・・・{Horizontal boat type carrier whereby the substrates are vertically supported, e.g. comprising rod-shaped elements}

H01L 21/67316 ・・・・[N: characterized by a material, a roughness, a coating or the like

H01L 21/6732 ・・・{Vertical carrier comprising wall type elements whereby the substrates are horizontally supported, e.g. comprising sidewalls}

H01L 21/67323 ・・・・{characterized by a material, a roughness, a coating or the like}

H01L 21/67326 ・・・{Horizontal carrier comprising wall type elements whereby the substrates are vertically supported, e.g. comprising sidewalls}

H01L 21/6733 ・・・・{characterized by a material, a roughness, a coating or the like}

H01L 21/67333 ・・・{Trays for chips (magazine for components H05K 13/0084)}

H01L 21/67336 ・・・・{characterized by a material, a roughness, a coating or the like}

H01L 21/6734 ・・・{specially adapted for supporting large square shaped substrates (containers and packaging elements for glass sheets B65D 85/48, transporting of glass products during their manufacture C03B 35/00)}

H01L 21/67343 ・・・・{characterized by a material, a roughness, a coating or the like}

H01L 21/67346 ・・・{characterized by being specially adapted for supporting a single substrate or by comprising a stack of such individual supports}

H01L 21/6735 ・・・{Closed carriers}

H01L 21/67353 ・・・・{specially adapted for a single substrate}

H01L 21/67356 ・・・・{specially adapted for containing chips, dies or ICs}

H01L 21/67359 ・・・・{specially adapted for containing masks, reticles or pellicles}

H01L 21/67363 ・・・・{specially adapted for containing substrates other than wafers (H01L 21/67356, H01L 21/67359 take precedence)}

H01L 21/67366 ・・・・{characterised by materials, roughness, coatings or the like (materials relating to an injection moulding process B29C 45/00; chemical composition of materials C08L 51/00)}

H01L 21/67369 ・・・・{characterised by shock absorbing elements, e.g. retainers or cushions}

H01L 21/67373 ・・・・{characterised by locking systems}

H01L 21/67376 ・・・・{characterised by sealing arrangements}

H01L 21/67379 ・・・・{characterised by coupling elements, kinematic members, handles or elements to be externally gripped}

H01L 21/67383 ・・・・{characterised by substrate supports}

H01L 21/67386 ・・・・{characterised by the construction of the closed carrier}

H01L 21/67389 ・・・・{characterised by atmosphere control}

H01L 21/67393 ・・・・・{characterised by the presence of atmosphere modifying elements inside or attached to the closed carrierl}

H01L 21/67396 ・・・・{characterised by the presence of antistatic elements}

H01L 21/677 ・・for conveying, e.g. between different workstations

H01L 21/67703 ・・・{between different workstations}

  WARNING - This group and subgroups are not complete pending completion of reorganization; see also H01L 21/677

H01L 21/67706 ・・・・{Mechanical details, e.g. roller, belt (H01L 21/67709 takes precedence)}

H01L 21/67709 ・・・・{using magnetic elements}

H01L 21/67712 ・・・・{the substrate being handled substantially vertically}

H01L 21/67715 ・・・・{Changing the direction of the conveying path}

H01L 21/67718 ・・・・{Changing orientation of the substrate, e.g. from a horizontal position to a vertical position}

H01L 21/67721 ・・・・{the substrates to be conveyed not being semiconductor wafers or large planar substrates, e.g. chips, lead frames, H01L 21/6773 takes precedence}

H01L 21/67724 ・・・・{by means of a cart or a vehicule}

H01L 21/67727 ・・・・{using a general scheme of a conveying path within a factory}

H01L 21/6773 ・・・・{Conveying cassettes, containers or carriers}

H01L 21/67733 ・・・・{Overhead conveying}

H01L 21/67736 ・・・・{Loading to or unloading from a conveyor}

H01L 21/67739 ・・・{into and out of processing chamber}

H01L 21/67742 ・・・・{Mechanical parts of transfer devices (robots in general in B25J)}

H01L 21/67745 ・・・・{characterized by movements or sequence of movements of transfer devices}

H01L 21/67748 ・・・・{horizontal transfer of a single workpiece}

H01L 21/67751 ・・・・{vertical transfer of a single workpiece}

H01L 21/67754 ・・・・{horizontal transfer of a batch of workpieces}

H01L 21/67757 ・・・・{vertical transfer of a batch of workpieces}

H01L 21/6776 ・・・・{Continuous loading and unloading into and out of a processing chamber, e.g. transporting belts within processing chambers}

  WARNING - Not complete pending completion of reorganization; see also H01L 21/677

H01L 21/67763 ・・・{the wafers being stored in a carrier, involving loading and unloading (H01L 21/6779 takes precedence)}

H01L 21/67766 ・・・・{Mechanical parts of transfer devices (robots in general in B25J)}

H01L 21/67769 ・・・・{Storage means}

H01L 21/67772 ・・・・{involving removal of lid, door, cover}

H01L 21/67775 ・・・・{Docking arrangements}

H01L 21/67778 ・・・・{involving loading and unloading of waers}

H01L 21/67781 ・・・・・{Batch transfer of wafers}

H01L 21/67784 ・・・{using air tracks}

H01L 21/67787 ・・・・{with angular orientation of the workpieces}

H01L 21/6779 ・・・・{the workpieces being stored in a carrier, involving loading and unloading}

H01L 21/67793 ・・・{with orientating and positioning by means of a vibratory bowl or track}

H01L 21/67796 ・・・{with angular orientation of workpieces (H01L 21/67787 and H01L 21/67793 take precedence)}

H01L 21/68 ・・for positioning, orientation or alignment (for conveying H01L 21/677)

  WARNING - This group is in reorganisation. See provisionally also group H01L 21/68T

H01L 21/681 ・・・{using optical controlling means}

H01L 21/682 ・・・{Mask-wafer alignment (in general G03F 7/20T, G03F 9/00T)}

H01L 21/683 ・・for supporting or gripping (for conveying H01L 21/677, for positioning, orientation or alignment H01L 21/68)

H01L 21/6831 ・・・{using electrostatic chucks}

H01L 21/6833 ・・・・{Details of electrostatic chucks}

H01L 21/6835 ・・・{using temporarily an auxiliary support}

  NOTE - H01L 21/6835, details of the apparatus are to be further indexed using the indexing codes chosen from H01L 2221/68304 and subgroups

H01L 21/6836 ・・・・{Wafer tapes, e.g. grinding or dicing support tapes (adhesive tapes in general C09J 7/02)}

H01L 21/6838 ・・・{with gripping and holding devices using a vacuum; Bernoulli devices}

H01L 21/687 ・・・using mechanical means, e.g. chucks, clamps or pinches {(using elecrostatic chucks H01L 21/683C)}

H01L 21/68707 ・・・・{the wafers being placed on a robot blade, or gripped by a gripper for conveyance}

H01L 21/68714 ・・・・{the wafers being placed on a susceptor, stage or support}

H01L 21/68721 ・・・・・{characterised by edge clamping, e.g. clamping ring}

H01L 21/68728 ・・・・・{characterised by a plurality of separate clamping members, e.g. clamping fingers}

H01L 21/68735 ・・・・・{characterised by edge profile or support profile}

H01L 21/68742 ・・・・・{characterised by a lifting arrangement, e.g. lift pins}

H01L 21/6875 ・・・・・{characterised by a plurality of individual support members, e.g. support posts or protrusions}

H01L 21/68757 ・・・・・{characterised by a coating or a hardness or a material}

H01L 21/68764 ・・・・・{characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel}

H01L 21/68771 ・・・・・{characterised by supporting more than one semiconductor substrate}

H01L 21/68778 ・・・・・{characterised by supporting substrates others than wafers, e.g. chips}

H01L 21/68785 ・・・・・{characterised by the mechanical construction of the susceptor, stage or support}

H01L 21/68792 ・・・・・{characterised by the construction of the shaft}

H01L 21/70 ・Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof ; Manufacture of integrated circuit devices or of parts thereof ({multistep manufacturing processes of assemblies consisting of a plurality of individual semiconductor or other solid state devices H01L 25/00}, manufacture of assemblies consisting or preformed electrical components H05K 3/00, H05K 13/00)

H01L 21/702 ・・{of thick-or thin-film circuits or parts thereof}

H01L 21/705 ・・・{of thick-film circuits or parts thereof}

H01L 21/707 ・・・{of thin-film circuits or parts thereof}

H01L 21/71 ・・Manufacture of specific parts of devices defined in group H01L 21/70({H01L 21/0405, H01L 21/0445}, H01L 21/28, H01L 21/44, H01L 21/48 take precedence)

H01L 21/74 ・・・Making of {localized} buried regions, e.g. buried collector layers, internal connections {substrate contacts}

H01L 21/743 ・・・・{Making of internal connections, substrate contacts}

H01L 21/746 ・・・・{for AIII-BV integrated circuits}

H01L 21/76 ・・・Making of isolation regions between components

H01L 21/7602 ・・・・{between components manufactured in an active substrate comprising SiC compounds}

H01L 21/7605 ・・・・{between components manufactured in an active substrate comprising AIII BV compounds}

H01L 21/7607 ・・・・{between components manufactured in an active substrate comprising II-VI compounds}

H01L 21/761 ・・・・PN junctions

H01L 21/762 ・・・・Dielectric regions, {e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers }

H01L 21/76202 ・・・・・{using a local oxidation of silicon, e.g. LOCOS, SWAMI, SILO (H01L 21/76235 takes precedence; together with vertical isolation, e.g. LOCOS in a SOI substrate, H01L 21/76264)}

H01L 21/76205 ・・・・・・{in a region being recessed from the surface, e.g. in a recess, groove, tub or trench region}

H01L 21/76208 ・・・・・・・{using auxiliary pillars in the recessed region, e.g. to form LOCOS over extended areas}

H01L 21/7621 ・・・・・・・{the recessed region having a shape other than rectangular, e.g. rounded or oblique shape (H01L 21/76208 takes precedence)}

H01L 21/76213 ・・・・・・{introducing electrical inactive or active impurities in the local oxidation region, e.g. to alter LOCOS oxide growth characteristics or for additional isolation purpose}

H01L 21/76216 ・・・・・・・{introducing electrical active impurities in the local oxidation region for the sole purpose of creating channel stoppers}

H01L 21/76218 ・・・・・・・・{introducing both types of electrical active impurities in the local oxidation region for the sole purpose of creating channel stoppers, e.g. for isolation of complementary doped regions}

H01L 21/76221 ・・・・・・{with a plurality of successive local oxidation steps}

H01L 21/76224 ・・・・・{using trench refilling with dielectric materials (trench filling with polycristalline siliconH01L 21/763; together with vertical isolation, e.g. trench refilling in a SOI substrateH01L 21/76264)}

H01L 21/76227 ・・・・・・{the dielectric materials being obtained by full chemical transformation of non-dielectric materials, such as polycristalline silicon, metals}

H01L 21/76229 ・・・・・・{Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches}

H01L 21/76232 ・・・・・・{of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls (H01L 21/76229 takes precedence)}

H01L 21/76235 ・・・・・・・{trench shape altered by a local oxidation of silicon process step, e.g. trench corner rounding by LOCOS}

H01L 21/76237 ・・・・・・{introducing impurities in trench side or bottom walls, e.g. for forming channel stoppers or alter isolation behavior}

H01L 21/7624 ・・・・・{using semiconductor on insulator (SOI) technology (H01L 21/76297 takes precedence; manufacture of integrated circuits on insulating substrates H01L 21/84; silicon on sapphire (SOS) technology H01L 21/86)}

H01L 21/76243 ・・・・・・{using silicon implanted buried insulating layers, e.g. oxide layers, i.e. SIMOX techniques}

H01L 21/76245 ・・・・・・{using full isolation by porous oxide silicon, i.e. FIPOS techniques}

H01L 21/76248 ・・・・・・{using lateral overgrowth techniques, i.e. ELO techniques}

H01L 21/76251 ・・・・・・{using bonding techniques}

H01L 21/76254 ・・・・・・・{with separation/delamination along an ion implanted layer, e.g. Smart-cut, Unibond}

H01L 21/76256 ・・・・・・・{using silicon etch back techniques, e.g. BESOI, ELTRAN}

H01L 21/76259 ・・・・・・・{with separation/delamination along a porous layer}

H01L 21/76262 ・・・・・・{using selective deposition of single crystal silicon, i.e. SEG techniques}

H01L 21/76264 ・・・・・・{SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands}

H01L 21/76267 ・・・・・・・{Vertical isolation by silicon implanted buried insulating layers, e.g. oxide layers, i.e. SIMOX techniques}

H01L 21/7627 ・・・・・・・{Vertical isolation by full isolation by porous oxide silicon, i.e. FIPOS techniques}

H01L 21/76272 ・・・・・・・{Vertical isolation by lateral overgrowth techniques, i.e. ELO techniques }

H01L 21/76275 ・・・・・・・{Vertical isolation by bonding techniques}

H01L 21/76278 ・・・・・・・{Vertical isolation by selective deposition of single crystal silicon, i.e. SEG techniques}

H01L 21/76281 ・・・・・・・{Lateral isolation by selective oxidation of silicon}

H01L 21/76283 ・・・・・・・{Lateral isolation by refilling of trenches with dielectric material}

H01L 21/76286 ・・・・・・・{Lateral isolation by refilling of trenches with polycristalline material}

H01L 21/76289 ・・・・・・・{Lateral isolation by air gap}

H01L 21/76291 ・・・・・・・{Lateral isolation by field effect}

H01L 21/76294 ・・・・・{using selective deposition of single crystal silicon, i.e. SEG techniques}

H01L 21/76297 ・・・・・{Dielectric isolation using EPIC techniques, i.e. epitaxial passivated integrated circuit}

H01L 21/763 ・・・・Polycristalline semiconductor regions {(H01L 21/76264 takes precedence)}

H01L 21/764 ・・・・Air gaps {(H01L 21/76264 takes precedence)}

H01L 21/765 ・・・・by field effect {(H01L 21/76264 takes precedence)}

H01L 21/768 ・・・Applying interconnections to be used for carrying current between separate components within a device {comprising conductors and dielectrics}

  NOTE - Groups H01L 21/768 to H01L 21/76898 cover multi-step processes for manufacturing interconnections.

  Information peculiar to single-step processes should also be classified in the corresponding group, e.g.
  - cleaning H01L 21/02F
  - etching H01L 21/311, H01L 21/3213
  - masking H01L 21/027, H01L 21/033, H01L 21/311D, H01L 21/3213D
  - planarizing H01L 21/3105, H01L 21/321

H01L 21/76801 ・・・・{characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing}

H01L 21/76802 ・・・・・{by forming openings in dielectrics}

H01L 21/76804 ・・・・・・{by forming tapered via holes}

H01L 21/76805 ・・・・・・{the opening being a via or contact hole penetrating the underlying conductor}

H01L 21/76807 ・・・・・・{for dual damascene structures}

H01L 21/76808 ・・・・・・・{involving intermediate temporary filling with material}

H01L 21/7681 ・・・・・・・{involving one or more buried masks}

H01L 21/76811 ・・・・・・・{involving multiple stacked pre-patterned masks}

H01L 21/76813 ・・・・・・・{involving a partial via etch}

H01L 21/76814 ・・・・・・{post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors}

  WARNING - H01L 21/76814-H01L 21/76817 are not complete; see provisionally H01L 21/76802

H01L 21/76816 ・・・・・・uN: Aspects relating to the layout of the pattern or to the size of vias or trenches (layout of the interconnections per se H01L 23/528; CAD of ICs G06F 17/50)]

H01L 21/76817 ・・・・・・{using printing or stamping techniques}

H01L 21/76819 ・・・・・{Smoothing of the dielectric (planarisation of insulating materials per se H01L 21/31051)}

H01L 21/7682 ・・・・・{the dielectric comprising air gaps}

H01L 21/76822 ・・・・・{Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.}{WARNING: Groups H01L 21/76822-H01L 21/76837 are not complete; see provisionally H01L 21/76801}

H01L 21/76823 ・・・・・・{transforming an insulating layer into a conductive layer}

H01L 21/76825 ・・・・・・{by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.(plasma treatment H01L 21/76826)}

H01L 21/76826 ・・・・・・{by contacting the layer with gases, liquids or plasmas}

H01L 21/76828 ・・・・・・{thermal treatment}

H01L 21/76829 ・・・・・{characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers}

H01L 21/76831 ・・・・・・{in via holes or trenches, e.g. non-conductive sidewall liners}

H01L 21/76832 ・・・・・・{Multiple layers}

H01L 21/76834 ・・・・・・{formation of thin insulating films on the sidewalls or on top of conductors (H01L 21/76831 takes precedence)}

H01L 21/76835 ・・・・・{Combinations of two or more different dielectric layers having a low dielectric constant (H01L 21/76832 takes precedence)}

H01L 21/76837 ・・・・・{Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics}

H01L 21/76838 ・・・・{characterised by the formation and the after-treatment of the conductors (etching for patterning the conductors H01L 21/3213)}

  NOTE - When the interconnect is also used as the conductor part of a conductor insulator semiconductor electrode (gate level interconnections), documents are classified in the relevant electrode manufacture groups, e.g. H01L 21/28026

H01L 21/7684 ・・・・・{Smoothing; Planarisation}

H01L 21/76841 ・・・・・{Barrier, adhesion or liner layers}

H01L 21/76843 ・・・・・・{formed in openings in a dielectric}

H01L 21/76844 ・・・・・・・{Bottomless liners}

H01L 21/76846 ・・・・・・・{Layer combinations}

H01L 21/76847 ・・・・・・・{the layer being positioned within the main fill metal}

H01L 21/76849 ・・・・・・・{the layer being positioned on top of the main fill metal}

H01L 21/7685 ・・・・・・{the layer covering a conductive structure (H01L 21/76849 takes precedence)}

H01L 21/76852 ・・・・・・・{the layer also covering the sidewalls of the conductive structure}

H01L 21/76853 ・・・・・・{characterized by particular after-treatment steps}

H01L 21/76855 ・・・・・・・{After-treatment introducing at least one additional element into the layer}

H01L 21/76856 ・・・・・・・・{by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner}

H01L 21/76858 ・・・・・・・・{by diffusing alloying elements}

H01L 21/76859 ・・・・・・・・{by ion implantation}

H01L 21/76861 ・・・・・・・{Post-treatment or after-treatment not introducing additional chemical elements into the layer}

H01L 21/76862 ・・・・・・・・{Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation}

H01L 21/76864 ・・・・・・・・{Thermal treatment}

H01L 21/76865 ・・・・・・・{Selective removal of parts of the layer (H01L 21/76844 takes precedence)}

H01L 21/76867 ・・・・・・{characterized by methods of formation other than PVD, CVD or deposition from a liquids (PVD H01L 21/2855; CVD H01L 21/28556; deposition from liquids H01L 21/288)}

H01L 21/76868 ・・・・・・{Forming or treating discontinuous thin films, e.g. repair, enhancement or reinforcement of discontinuous thin films}

H01L 21/7687 ・・・・・・{Thin films associated with contacts of capacitors}

H01L 21/76871 ・・・・・・{Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers}

H01L 21/76873 ・・・・・・・{for electroplating}

H01L 21/76874 ・・・・・・・{for electroless plating}

H01L 21/76876 ・・・・・・・{for deposition from the gas phase, e.g. CVD}

H01L 21/76877 ・・・・・{Filling of holes, grooves or trenches, e.g. vias, with conductive material}

H01L 21/76879 ・・・・・・{by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating (plating on semiconductors in general H01L 21/288)}

H01L 21/7688 ・・・・・・{by deposition over sacrificial masking layer, e.g. lift-off (lift-off per se H01L 21/00B2)}

H01L 21/76882 ・・・・・・{Reflowing or applying of pressure to better fill the contact hole}

H01L 21/76883 ・・・・・・{Post-treatment or after-treatment of the conductive material}

H01L 21/76885 ・・・・・{By forming conductive members before deposition of protective insulating material, e.g. pillars, studs}

H01L 21/76886 ・・・・・{Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances}

H01L 21/76888 ・・・・・・{By rendering at least a portion of the conductor non conductive, e.g. oxidation}

H01L 21/76889 ・・・・・・{by forming silicides of refractory metals}

H01L 21/76891 ・・・・・・{by using supraconducting materials}

H01L 21/76892 ・・・・・・{modifying the pattern}

H01L 21/76894 ・・・・・・・{using a laser, e.g. laser cutting, laser direct writing, laser repair}

H01L 21/76895 ・・・・・{Local interconnects; Local pads, as exemplified by patent document EP0896365}

H01L 21/76897 ・・・・{Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step (self-aligned silicidation on field effect transistors H01L 21/336M)}

H01L 21/76898 ・・・・{formed through a semiconductor substrate}

H01L 21/77 ・・Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate

  NOTE - Integration processes for the manufacture of devices of the type classified in H01L 27/14 to H01L 27/32 are not classified in this group and its sub-groups.

  Instead, as they are peculiar to said devices, they are classified together with the devices Multistep processes for manufacturing memory structures in general using field effect technology are covered by H01L 27/105M;Multistep processes for manufacturing dynamic random access memory structures are covered by H01L 27/108M;Multistep processes for manufacturing static random access memory structures are covered by H01L 27/11;Multistep processes for manufacturing read-only memory structures are covered by H01L 27/112;Multistep processes for manufacturing electrically programmable read-only memory structures are covered by H01L 27/115

H01L 21/78 ・・・with subsequent division of the substrate into plural individual devices (cutting to change the surface-physical characteristics or shape of semiconductor bodies H01L 21/304)

H01L 21/7806 ・・・・{involving the separation of the active layers from a substrate}

H01L 21/7813 ・・・・・{leaving a reusable substrate, e.g. epitaxial lift off}

H01L 21/782 ・・・・to produce devices, each consisting of a single circuit element (H01L 21/82 takes precedence)

H01L 21/784 ・・・・・the substrate being a semiconductor body

H01L 21/786 ・・・・・the substrate being other than a semiconductor body, e.g. insulating body

H01L 21/82 ・・・・to produce devices, e.g. integrated circuits, each consisting of a plurality of components

H01L 21/8206 ・・・・・{the substrate being a semiconductor, using diamond technology (H01L 21/8258 takes precedence)}

H01L 21/8213 ・・・・・{the substrate being a semiconductor, using SiC technology (H01L 21/8258 takes precedence)}

H01L 21/822 ・・・・・the substrate being a semiconductor, using silicon technology (H01L 21/8258 takes precedence)

H01L 21/8221 ・・・・・・{Three dimensional integrated circuits stacked in different levels}

H01L 21/8222 ・・・・・・Bipolar technology

H01L 21/8224 ・・・・・・・comprising a combination of vertical and lateral transistors

H01L 21/8226 ・・・・・・・comprising merged transistor logic or integrated injection logic

H01L 21/8228 ・・・・・・・Complementary devices, e.g. complementary transistors

H01L 21/82285 ・・・・・・・・{Complementary vertical transistors}

H01L 21/8229 ・・・・・・・Memory structures

H01L 21/8232 ・・・・・・Field-effect technology

H01L 21/8234 ・・・・・・・MIS technology {, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type}

H01L 21/823406 ・・・・・・・・{Combination of charge coupled devices, i.e. CCD, or BBD}

H01L 21/823412 ・・・・・・・・{with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials}

H01L 21/823418 ・・・・・・・・{with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures}

H01L 21/823425 ・・・・・・・・・{manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures}

H01L 21/823431 ・・・・・・・・{with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET}

H01L 21/823437 ・・・・・・・・{with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes}

H01L 21/823443 ・・・・・・・・・{silicided or salicided gate conductors}

H01L 21/82345 ・・・・・・・・・{gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures}

H01L 21/823456 ・・・・・・・・・{gate conductors with different shapes, lengths or dimensions}

H01L 21/823462 ・・・・・・・・{with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants}

H01L 21/823468 ・・・・・・・・{with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape}

H01L 21/823475 ・・・・・・・・{interconnection or wiring or contact manufacturing related aspects}

H01L 21/823481 ・・・・・・・・{isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure}

H01L 21/823487 ・・・・・・・・{with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface (with a current flow parallel to the substrate surface H01L 21/823431)}

H01L 21/823493 ・・・・・・・・{with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation (BILLI)}

H01L 21/8236 ・・・・・・・・Combination of enhancement and depletion transistors

H01L 21/8238 ・・・・・・・・Complementary field-effect transistors, e.g. CMOS

H01L 21/823807 ・・・・・・・・・{with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials}

H01L 21/823814 ・・・・・・・・・{with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures}

H01L 21/823821 ・・・・・・・・・{with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET}

H01L 21/823828 ・・・・・・・・・{with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes}

H01L 21/823835 ・・・・・・・・・・{silicided or salicided gate conductors}

H01L 21/823842 ・・・・・・・・・・{gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures}

H01L 21/82385 ・・・・・・・・・・{gate conductors with different shapes, lengths or dimensions}

H01L 21/823857 ・・・・・・・・・{with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants}

H01L 21/823864 ・・・・・・・・・{with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape}

H01L 21/823871 ・・・・・・・・・{interconnection or wiring or contact manufacturing related aspects}

H01L 21/823878 ・・・・・・・・・{isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure}

H01L 21/823885 ・・・・・・・・・{with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface (with a current flow parallel to the substrate surface H01L 21/823821)}

H01L 21/823892 ・・・・・・・・・{with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation (BILLI)}

H01L 21/8239 ・・・・・・・・Memory structures

H01L 21/8248 ・・・・・・Combination of bipolar and field-effect technology

H01L 21/8249 ・・・・・・・Bipolar and MOS technology

H01L 21/8252 ・・・・・the substrate being a semiconductor, using III-V technology (H01L 21/8258 takes precedence)

H01L 21/8254 ・・・・・the substrate being a semiconductor, using II-VI technology (H01L 21/8258 takes precedence)

H01L 21/8256 ・・・・・the substrate being a semiconductor, using technologies not covered by one of groups {H01L 21/8206, H01L 21/8213}, H01L 21/822, H01L 21/8252 and H01L 21/8254(H01L 21/8258 takes precedence)

H01L 21/8258 ・・・・・the substrate being a semiconductor, using a combination of technologies covered by {H01L 21/8206, H01L 21/8213}, H01L 21/822, H01L 21/8252, H01L 21/8254 or H01L 21/8256

H01L 21/84 ・・・・・the substrate being other than a semiconductor body, e.g. being an insulating body

H01L 21/845 ・・・・・・{including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET}

H01L 21/86 ・・・・・・the insulating body being sapphire, e.g. silicon on sapphire structure, i.e. SOS

H01L 22/00 {Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor (detecting or counting or handling H01L 21/67005; marks applied to semiconductor devices H01L 23/544; testing methods or structures peculiar to devices provided for in groups H01L 31/00 to H01L 51/00, see these groups; investigating or analysing materials by the use of optical means G01N 21/00; testing electrical properties of individual semiconductor devices G01R 31/26; testing of photovoltaic systems H02S 50/00)}

H01L 22/10 ・{Measuring as part of the manufacturing process (burn-in G01R 31/28C8)}

H01L 22/12 ・・{for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions (electrical measurement of diffusions H01L 22/14)}

H01L 22/14 ・・{for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means}

H01L 22/20 ・{Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps}

H01L 22/22 ・・{Connection or disconnection of sub-entities or redundant parts of a device in response to a measurement (testing and repair of stores after manufacture including at wafer scale G11C 29/00; fuses per se H01L 23/525)}

H01L 22/24 ・・{Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change (voltage contrast G01R 31/311)}

H01L 22/26 ・・{Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement (endpoint detection arrangements in CMP apparatus B24B 37/013, in discharge apparatus H01J 37/32D1C1)}

H01L 22/30 ・{Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements}

H01L 22/32 ・・{Additional lead-in metallisation on a device or substrate, e.g. additional pads or pad portions, lines in the scribe line, sacrificed conductors (arrangements for conducting electric current to or from the solid state body in operation H01L 23/48)}

H01L 22/34 ・・{Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line (switching, multiplexing, gating devices G01R 19/25; process control with lithography, e.g. dose control, G03F 7/20; structures for alignment control by optical means G03F 7/20T8)}

H01L 23/00 Details of semiconductor or other solid state devices (H01L 25/00 takes precedence; {structural arrangements for testing or measuring during manufacture or treatment, or for reliability measurements H01L 22/00; arrangements for connecting or disconnecting semiconductor or solid-state bodies, or methods related thereto H01L 24/00; finger print sensors G06K 9/00006})

  NOTE - This group does not cover:
  - details of semiconductor bodies or of electrodes of devices provided for in group H01L 29/00, which details are covered by that group;
  - details peculiar to devices provided for in a single main group of groups H01L 31/00 to H01L 51/00, which details are covered by those groups.

H01L 23/02 ・Containers; Seals (H01L 23/12, H01L 23/34, H01L 23/48, H01L 23/552, {H01L 23/66} take precedence; {for memories G11C})

H01L 23/04 ・・characterised by the shape {of the container or parts, e.g. caps, walls}

H01L 23/041 ・・・{the container being a hollow construction having no base used as a mounting for the semiconductor body}

H01L 23/043 ・・・the container being a hollow construction and having a conductive base as a mounting as well as a lead for the semiconductor body

H01L 23/045 ・・・・the other leads having an insulating passage through the base

H01L 23/047 ・・・・the other leads being parallel to the base

H01L 23/049 ・・・・the other leads being perpendicular to the base

H01L 23/051 ・・・・another lead being formed by a cover plate parallel to the base plate, e.g. sandwich type

H01L 23/053 ・・・the container being a hollow construction and having an insulating {or insulated} base as a mounting for the semiconductor body

H01L 23/055 ・・・・the leads having a passage through the base {(H01L 23/057 takes precedence)}

H01L 23/057 ・・・・the leads being parallel to the base

H01L 23/06 ・・characterised by the material of the container or its electrical properties

H01L 23/08 ・・・the material being an electrical insulator, e.g. glass

H01L 23/10 ・・characterised by the material or arrangement of seals between parts,ween cap e.g. between cap and base of the container or between leads and walls of the container

H01L 23/12 ・Mountings, e.g. non-detachable insulating substrates

H01L 23/13 ・・characterised by the shape

H01L 23/14 ・・characterised by the material or its electrical properties {(printed circuit boards H05K 1/00)}

H01L 23/142 ・・・{Metallic substrates having insulating layers}

H01L 23/145 ・・・{Organic substrates, e.g. plastic}

H01L 23/147 ・・・{Semiconductor insulating substrates (semiconductor conductive substrates H01L 23/4926)}

H01L 23/15 ・・・Ceramic or glass substrates {(H01L 23/142, H01L 23/145, H01L 23/147 take precedence)}

H01L 23/16 ・Fillings or auxiliary members in containers {or encapsulations}, e.g. centering rings (H01L 23/42, H01L 23/552 take precedence)

H01L 23/18 ・・Fillings characterised by the material, its physical or chemical properties, or its arrangement within the complete device

  NOTE - Group H01L 23/26 takes precedence over groups H01L 23/20 to H01L 23/24

H01L 23/20 ・・・gaseous at the normal operating temperature of the device

H01L 23/22 ・・・liquid at the normal operating temperature of the device

H01L 23/24 ・・・Solid or gel at the normal operating temperature of the device {(H01L 23/3135 takes precedence)}

H01L 23/26 ・・・including materials for absorbing or reacting with moisture or other undesired substances, {e.g. getters}

H01L 23/28 ・Encapsulations, e.g. encapsulating layers, coatings, {e.g. for protection}(H01L 23/552 takes precedence; {insulating layers for contacts or interconnections H01L 23/5329})

H01L 23/29 ・・characterised by the material {e.g. carbon (interlayer dielectrics H01L 23/5329)}

H01L 23/291 ・・・{Oxides or nitrides or carbides, e.g. ceramics, glass}

H01L 23/293 ・・・{Organic, e.g. plastic}

H01L 23/295 ・・・・{containing a filler (H01L 23/296 takes precedence)}

H01L 23/296 ・・・・{Organo-silicon compounds}

H01L 23/298 ・・・{Semiconductor material, e.g. amorphous silicon}

H01L 23/31 ・・characterised by the arrangement {or shape}

H01L 23/3107 ・・・{the device being completely enclosed}

H01L 23/3114 ・・・・{the device being a chip scale package, e.g. CSP}

H01L 23/3121 ・・・・{a substrate forming part of the encapsulation}

H01L 23/3128 ・・・・・{the substrate having spherical bumps for external connection}

H01L 23/3135 ・・・・{Double encapsulation or coating and encapsulation}

H01L 23/3142 ・・・・{Sealing arrangements between parts, e.g. adhesion promotors}

H01L 23/315 ・・・・{the encapsulation having a cavity}

H01L 23/3157 ・・・{Partial encapsulation or coating (mask layer used as insulation layer H01L 21/31)}

H01L 23/3164 ・・・・{the coating being a foil}

H01L 23/3171 ・・・・{the coating being directly applied to the semiconductor body, e.g. passivation layer (H01L 23/3178 takes precedence)}

H01L 23/3178 ・・・・{Coating or filling in grooves made in the semiconductor body}

H01L 23/3185 ・・・・{the coating covering also the sidewalls of the semiconductor body}

H01L 23/3192 ・・・・{Multilayer coating}

H01L 23/32 ・Holders for supporting the complete device in operation, i.e. detachable fixtures (H01L 23/40 takes precedence; connectors, {e.g. sockets}, in general H01R; for printed circuits H05K)

H01L 23/34 ・Arrangements for cooling, heating, ventilating or temperature compensation; {Temperature sensing arrangements (thermal treatment apparatus H01L 21/00)}

H01L 23/345 ・・{Arrangements for heating (thermal treatment apparatus H01L 21/00)}

H01L 23/36 ・・Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks {(H01L 23/28, H01L 23/40, H01L 23/42, H01L 23/44, H01L 23/46 take precedence; heating H01L 23/345)}

H01L 23/367 ・・・Cooling facilitated by shape of device {(H01L 23/38, H01L 23/40, H01L 23/42, H01L 23/44, H01L 23/46 take precedence)}

H01L 23/3672 ・・・・{Foil-like cooling fins or heat sinks (being part of lead-frames H01L 23/49568)}

H01L 23/3675 ・・・・{characterised by the shape of the housing}

H01L 23/3677 ・・・・{Wire-like or pin-like cooling fins or heat sinks}

H01L 23/373 ・・・Cooling facilitated by selection of materials for the device {or materials for thermal expansion adaptation, e.g. carbon}

H01L 23/3731 ・・・・{Ceramic materials or glass (H01L 23/3732, H01L 23/3733, H01L 23/3735, H01L 23/3737, H01L 23/3738 take precedence)}

H01L 23/3732 ・・・・{Diamonds}

H01L 23/3733 ・・・・{having a heterogeneous or anisotropic structure, e.g. powder or fibres in a matrix, wire mesh, porous structures (H01L 23/3732, H01L 23/3737 take precedence)}

H01L 23/3735 ・・・・{Laminates or multilayers, e.g. direct bond copper ceramic substrates}

H01L 23/3736 ・・・・{Metallic materials (H01L 23/3732, H01L 23/3733, H01L 23/3735, H01L 23/3737, H01L 23/3738 take precedence)}

H01L 23/3737 ・・・・{Organic materials with or without a thermoconductive filler}

H01L 23/3738 ・・・・{Semiconductor materials}

H01L 23/38 ・・Cooling arrangements using the Peltier effect

H01L 23/40 ・・Mountings or securing means for detachable cooling or heating arrangements {(heating H01L 23/345); fixed by friction, plugs or springs}

H01L 23/4006 ・・・{with bolts or screws}

H01L 23/4012 ・・・・{for stacked arrangements of a plurality of semiconductor devices (assemblies per se H01L 25/00)}

H01L 23/4093 ・・・{Snap-on arrangements, e.g. clips}

H01L 23/42 ・・Fillings or auxiliary members in containers {or encapsulations} selected or arranged to facilitate heating or cooling ({heating H01L 23/345}; characterised by selection of materials for the device H01L 23/373)

H01L 23/427 ・・・Cooling by change of state, e.g. use of heat pipes {(by liquefied gas H01L 23/445)}

H01L 23/4275 ・・・・{by melting or evaporation of solids}

H01L 23/433 ・・・Auxiliary members {in containers} characterised by their shape, e.g. pistons

H01L 23/4332 ・・・・{Bellows}

H01L 23/4334 ・・・・{Auxiliary members in encapsulations (H01L 23/49568 takes precedence)}

H01L 23/4336 ・・・・{in combination with jet impingement}

H01L 23/4338 ・・・・{Pistons, e.g. spring-loaded members}

H01L 23/44 ・・the complete device being wholly immersed in a fluid other than air {(H01L 23/427 takes precedence)}

H01L 23/445 ・・・{the fluid being a liquefied gas, e.g. in a cryogenic vessel}

H01L 23/46 ・・involving the transfer of heat by flowing fluids (H01L 23/42, H01L 23/44 take precedence)

H01L 23/467 ・・・by flowing gases, e.g. air {(H01L 23/473 takes precedence)}

H01L 23/473 ・・・by flowing liquids {(H01L 23/4332, H01L 23/4338 take precedence)}

H01L 23/4735 ・・・・{Jet impingement (H01L 23/4336 takes precedence)}

H01L 23/48 ・Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements (in general H01R); {Selection of materials therefor}

  NOTE - Arrangements for connecting or disconnecting semiconductor or other solid state bodies, or methods related thereto, other than those arrangements or methods covered by the following subgroups, are covered by H01L 24/00

H01L 23/481 ・・{Internal lead connections, e.g. via connections, feedthrough structures}

H01L 23/482 ・・consisting of lead-in layers inseparably applied to the semiconductor body {(electrodes H01L 29/40)}

  WARNING - The documents of this group dealing with arrangements for connecting semiconductor or other solid state bodies are being continuously reclassified to H01L 24/01 and subgroups

H01L 23/4821 ・・・{Bridge structure with air gap}

H01L 23/4822 ・・・{Beam leads}

H01L 23/4824 ・・・{Pads with extended contours, e.g. grid structure, branch structure, finger structure}

H01L 23/4825 ・・・{for devices consisting of semiconductor layers on insulating or semi-insulating substrates, e.g. silicon on sapphire devices, i.e. SOS}

H01L 23/4827 ・・・{Materials}

H01L 23/4828 ・・・・{Conductive organic material or pastes, e.g. conductive adhesives, inks}

H01L 23/485 ・・・consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts {(H01L 23/4821, H01L 23/4822, H01L 23/4824, H01L 23/4825 take precedence; materials H01L 23/532, bond pads H01L 24/02, bump connectors H01L 24/10)}

  WARNING - The documents of this group dealing with arrangements for connecting semiconductor or other solid state bodies are being continuously reclassified to H01L 24/01 and subgroups

H01L 23/4855 ・・・・{Overhang structure}

H01L 23/488 ・・consisting of soldered {or bonded} constructions {(bump connectors H01L 24/01)}

H01L 23/49 ・・・Wire-like {arrangements or pins or rods (using optical fibres H01L 23/48; pins attached to insulating substrates H01L 23/49811)}

  WARNING - This group is no longer used for the classification of new documents as from June 1, 2010. The backlog of this group is being continuously reclassified to H01L 23/00C2W and subgroups

H01L 23/492 ・・・Bases or plates {or solder therefor}

H01L 23/4922 ・・・・{having a heterogeneous or anisotropic structure}

H01L 23/4924 ・・・・{characterised by the materials}

H01L 23/4926 ・・・・・{the materials containing semiconductor material}

H01L 23/4928 ・・・・・{the materials containing carbon}

H01L 23/495 ・・・Lead-frames {or other flat leads (H01L 23/498 takes precedence; lead frame interconnections between components H01L 23/52)}

H01L 23/49503 ・・・・{characterised by the die pad}

H01L 23/49506 ・・・・・{an insulative substrate being used as a diepad, e.g. ceramic, plastic (H01L 23/49531 takes precedence)}

H01L 23/4951 ・・・・・{Chip-on-leads or leads-on-chip techniques, i.e. inner lead fingers being used as die pad}

H01L 23/49513 ・・・・・{having bonding material between chip and die pad}

H01L 23/49517 ・・・・{Additional leads}

H01L 23/4952 ・・・・・{the additional leads being a bump or a wire}

H01L 23/49524 ・・・・・{the additional leads being a tape carrier or flat leads}

H01L 23/49527 ・・・・・{the additional leads being a multilayer}

H01L 23/49531 ・・・・・{the additional leads being a wiring board}

H01L 23/49534 ・・・・{Multi-layer}

H01L 23/49537 ・・・・{Plurality of lead frames mounted in one device}

H01L 23/49541 ・・・・{Geometry of the lead-frame}

H01L 23/49544 ・・・・・{Deformation absorbing parts in the lead frame plane, e.g. meanderline shape (H01L 23/49562 takes precedence)}

H01L 23/49548 ・・・・・{Cross section geometry (H01L 23/49562 takes precedence)}

H01L 23/49551 ・・・・・・{characterised by bent parts}

H01L 23/49555 ・・・・・・・{the bent parts being the outer leads}

H01L 23/49558 ・・・・・{Insulating layers on lead frames, e.g. bridging members}

H01L 23/49562 ・・・・・{for devices being provided for in H01L 29/00}

H01L 23/49565 ・・・・・{Side rails of the lead frame, e.g. with perforations, sprocket holes}

H01L 23/49568 ・・・・{specifically adapted to facilitate heat dissipation}

H01L 23/49572 ・・・・{consisting of thin flexible metallic tape with or without a film carrier (H01L 23/49503 to H01L 23/49568 and H01L 23/49575 to H01L 23/49579 take precedence)}

H01L 23/49575 ・・・・{Assemblies of semiconductor devices on lead frames}

H01L 23/49579 ・・・・{characterised by the materials of the lead frames or layers thereon}

H01L 23/49582 ・・・・・{Metallic layers on lead frames}

H01L 23/49586 ・・・・・{Insulating layers on lead frames}

H01L 23/49589 ・・・・{Capacitor integral with or on the leadframe}

H01L 23/49593 ・・・・{Battery in combination with a leadframe}

H01L 23/49596 ・・・・{Oscillators in combination with lead-frames}

H01L 23/498 ・・・Leads, {i.e. metallisations or lead-frames} on insulating substrates, {e.g. chip carriers (shape of the substrate H01L 23/13)}

H01L 23/49805 ・・・・{the leads being also applied on the sidewalls or the bottom of the substrate, e.g. leadless packages for surface mounting}

H01L 23/49811 ・・・・{Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads (H01L 23/49827 takes precedence)}

H01L 23/49816 ・・・・・{Spherical bumps on the substrate for external connection, e.g. ball grid arrays (BGA)}

H01L 23/49822 ・・・・{Multilayer substrates (multilayer metallisation on monolayer substrate H01L 23/498)}

H01L 23/49827 ・・・・{Via connections through the substrates, e.g. pins going through the substrate, coaxial cables (H01L 23/49822, H01L 23/49833, H01L 23/4985, H01L 23/49861 take precedence)}

H01L 23/49833 ・・・・{the chip support structure consisting of a plurality of insulating substrates}

H01L 23/49838 ・・・・{Geometry or layout}

H01L 23/49844 ・・・・・{for devices being provided for in H01L 29/00}

H01L 23/4985 ・・・・{Flexible insulating substrates (H01L 23/49572 and H01L 23/49855 take precedence)}

H01L 23/49855 ・・・・{for flat-cards, e.g. credit cards (cards per se G06K 19/00)}

H01L 23/49861 ・・・・{Lead-frames fixed on or encapsulated in insulating substrates (H01L 23/4985, H01L 23/49805 take precedence)}

H01L 23/49866 ・・・・{characterised by the materials (materials of the substrates H01L 23/14, of the lead-frames H01L 23/49579)}

H01L 23/49872 ・・・・・{the conductive materials containing semiconductor material}

H01L 23/49877 ・・・・・{Carbon, e.g. fullerenes (superconducting fullerenes H01L 39/123)}

H01L 23/49883 ・・・・・{the conductive materials containing organic materials or pastes, e.g. for thick films (for printed circuits H05K 1/092)}

H01L 23/49888 ・・・・・{the conductive materials containing superconducting material}

H01L 23/49894 ・・・・・{Materials of the insulating layers or coatings}

H01L 23/50 ・・for integrated circuit devices, {e.g. power bus, number of leads}(H01L 23/482 to H01L 23/498 take precedence)

H01L 23/52 ・Arrangements for conducting electric current within the device in operation from one component to another, {i.e. interconnections, e.g. wires, lead frames (optical interconnections G02B 6/00)}

H01L 23/522 ・・including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body

H01L 23/5221 ・・・{Crossover interconnections}

H01L 23/5222 ・・・{Capacitive arrangements or effects of, or between wiring layers (other capacitive arrangements H01L 23/642)}

H01L 23/5223 ・・・・{Capacitor integral with wiring layers}

H01L 23/5225 ・・・・{Shielding layers formed together with wiring layers}

H01L 23/5226 ・・・{Via connections in a multilevel interconnection structure}

H01L 23/5227 ・・・{Inductive arrangements or effects of, or between, wiring layers (other inductive arrangements H01L 23/645)}

H01L 23/5228 ・・・{Resistive arrangements or effects of, or between, wiring layers (other resistive arrangements H01L 23/647)}

H01L 23/525 ・・・with adaptable interconnections

H01L 23/5252 ・・・・{comprising anti-fuses, i.e. connections having their state changed from non-conductive to conductive}

H01L 23/5254 ・・・・・{the change of state resulting from the use of an external beam, e.g. laser beam or ion beam}

H01L 23/5256 ・・・・{comprising fuses, i.e. connections having their state changed from conductive to non-conductive}

H01L 23/5258 ・・・・・{the change of state resulting from the use of an external beam, e.g. laser beam or ion beam}

H01L 23/528 ・・・{Geometry or} layout of the interconnection structure {(H01L 27/0207 takes precedence; algorithms G06F 17/50)}

H01L 23/5283 ・・・・{Cross-sectional geometry}

H01L 23/5286 ・・・・{Arrangements of power or ground buses}

H01L 23/532 ・・・characterised by the materials

H01L 23/53204 ・・・・{Conductive materials}

H01L 23/53209 ・・・・・{based on metals, e.g. alloys, metal silicides (H01L 23/53285 takes precedence)}

H01L 23/53214 ・・・・・・{the principal metal being aluminium}

H01L 23/53219 ・・・・・・・{Aluminium alloys}

H01L 23/53223 ・・・・・・・{Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers}

H01L 23/53228 ・・・・・・{the principal metal being copper}

H01L 23/53233 ・・・・・・・{Copper alloys}

H01L 23/53238 ・・・・・・・{Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers}

H01L 23/53242 ・・・・・・{the principal metal being a noble metal, e.g. gold}

H01L 23/53247 ・・・・・・・{Noble-metal alloys}

H01L 23/53252 ・・・・・・・{Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers}

H01L 23/53257 ・・・・・・{the principal metal being a refractory metal}

H01L 23/53261 ・・・・・・・{Refractory-metal alloys}

H01L 23/53266 ・・・・・・・{Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers}

H01L 23/53271 ・・・・・{containing semiconductor material, e.g. polysilicon}

H01L 23/53276 ・・・・・{containing carbon, e.g. fullerenes (superconducting fullerenes H01L 39/123)}

H01L 23/5328 ・・・・・{containing conductive organic materials or pastes, e.g. conductive adhesives, inks}

H01L 23/53285 ・・・・・{containing superconducting materials}

H01L 23/5329 ・・・・{Insulating materials}

H01L 23/53295 ・・・・・{Stacked insulating layers}

H01L 23/535 ・・including internal interconnections, e.g. cross-under constructions {(internal lead connections H01L 23/481)}

H01L 23/538 ・・the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates ({H05K takes precedence; manufacture or treatment H01L 21/4846}; mountings per se H01L 23/12; {materials H01L 23/49866})

H01L 23/5381 ・・・{Crossover interconnections, e.g. bridge stepovers}

H01L 23/5382 ・・・{Adaptable interconnections, e.g. for engineering changes}

H01L 23/5383 ・・・{Multilayer substrates (H01L 23/5385 takes precedence; multilayer metallisation on monolayer substrates H01L 23/538)}

H01L 23/5384 ・・・{Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors (H01L 23/5383, H01L 23/5385 take precedence; pins attached to insulating substrates H01L 23/49811)}

H01L 23/5385 ・・・{Assembly of a plurality of insulating substrates}

H01L 23/5386 ・・・{Geometry or layout of the interconnection structure}

H01L 23/5387 ・・・{Flexible insulating substrates (H01L 23/5388 takes precedence)}

H01L 23/5388 ・・・{for flat cards, e.g. credit cards (cards per se G06K 19/00)}

H01L 23/5389 ・・・{the chips being integrally enclosed by the interconnect and support structures}

H01L 23/544 ・Marks applied to semiconductor devices {or parts}, e.g. registration marks, {alignment structures, wafer maps (test patterns for characterising or monitoring manufacturing processes H01L 22/00)}

  NOTE - H01L 23/544, details are to be further indexed by using the indexing codes chosen from H01L 2223/544 and subgroups

H01L 23/552 ・Protection against radiation, e.g. light {or electromagnetic waves}

H01L 23/556 ・・against alpha rays

H01L 23/562 ・{Protection against mechanical damage (H01L 23/02, H01L 23/28 take precedence)}

H01L 23/564 ・{Details not otherwise provided for, e.g. protection against moisture (getters H01L 23/26)}

H01L 23/57 ・{Protection from inspection, reverse engineering or tampering}

H01L 23/573 ・・{using passive means}

H01L 23/576 ・・{using active circuits}

H01L 23/58 ・Structural electrical arrangements for semiconductor devices not otherwise provided for, {e.g. in combination with batteries (H01L 23/49593, H01L 23/49596 take precedence)}

H01L 23/585 ・・{comprising conductive layers or plates or strips or rods or rings (H01L 23/60, H01L 23/62, H01L 23/64, H01L 23/66 take precedence)}

H01L 23/60 ・・Protection against electrostatic charges or discharges, e.g. Faraday shields (in general H05F)

H01L 23/62 ・・Protection against overvoltage, e.g. fuses, shunts

H01L 23/64 ・・Impedance arrangements

H01L 23/642 ・・・{Capacitive arrangements (H01L 23/49589, H01L 23/645, H01L 23/647, H01L 23/66 take precedence; capacitive effects between wiring layers on the semiconductor body H01L 23/5222)}

H01L 23/645 ・・・{Inductive arrangements (H01L 23/647, H01L 23/66 take precedence)}

H01L 23/647 ・・・{Resistive arrangements (H01L 23/66, H01L 23/62 take precedence)}

H01L 23/66 ・・・High-frequency adaptations

  NOTE - H01L 23/66, details are to be further indexed by using the indexing codes chosen from H01L 2223/66 and subgroups

H01L 24/00 {Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto}

  NOTE - H01L 29/00, which details are covered by that group; - details peculiar to devices provided for in a single main group of groups H01L 31/00 to H01L 51/00, which details are covered by those groups.- printed circuits, which are covered by groups H05K 1/00 to H05K 1/18F; - apparatus or manufacturing processes for printed circuits, which are covered by groups H05K 3/00 to H05K 3/46D; - manufacture or treatment of parts, which are covered by group H01L 21/48 and subgroups except H01L 21/4885 to H01L 21/4896; - assemblies of semiconductor devices, which are covered by groups H01L 21/50 to H01L 21/56T;- applying interconnections to be used for carrying current between separate components within a device, which is covered by group H01L 21/768 and subgroups;- containers or seals, which are covered by groups H01L 23/02 to H01L 23/10; - mountings, which are covered by groups H01L 23/12 to H01L 23/15 and subgroups; - arrangements for cooling, heating, ventilating or temperature compensation, which are covered by groups H01L 23/34 to H01L 23/473J;- arrangements for conducting electric current, which are covered by groups H01L 23/48 to H01L 23/50, and by groups H01L 23/52 to H01L 23/538V; - structural electrical arrangements, which are covered by groups H01L 23/58 to H01L 23/66; - assemblies of semiconductor or other solid state devices, which are covered by groups H01L 25/00 to H01L 25/18.2. In this group the following indexing codes are used : H01L 24/00 H01L 2224/00, H01L 2924/00, and subgroups thereof

  WARNING - H01L 21/4885, H01L 21/58, H01L 23/48, H01L 23/482, H01L 23/485, H01L 23/488

H01L 24/01 ・{Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto}

  WARNING - H01L 23/482, H01L 23/485 and subgroups 2. Pending reorganisation subgroups of this group are not complete; see also this group and the other subgroups

H01L 24/02 ・・{Bonding areas (on insulating substrates, e.g. chip carriers, H01L 23/49816, H01L 23/49838, H01L 23/5389); Manufacturing methods related thereto}

  WARNING - H01L 24/10 for Under Bump Metallization [UBM] 2. Pending reorganisation, subgroups of this group are not complete; see also this group

H01L 24/03 ・・・{Manufacturing methods}

H01L 24/04 ・・・{Structure, shape, material or disposition of the bonding areas prior to the connecting process}

H01L 24/05 ・・・・{of an individual bonding area}

H01L 24/06 ・・・・{of a plurality of bonding areas}

H01L 24/07 ・・・{Structure, shape, material or disposition of the bonding areas after the connecting process}

H01L 24/08 ・・・・{of an individual bonding area}

H01L 24/09 ・・・・{of a plurality of bonding areas}

H01L 24/10 ・・{Bump connectors (bumps on insulating substrates, e.g. chip carriers,H01L 23/49816); Manufacturing methods related thereto}

  WARNING

H01L 24/11 ・・・{Manufacturing methods (for bumps on insulating substrates H01L 21/4853)}

H01L 24/12 ・・・{Structure, shape, material or disposition of the bump connectors prior to the connecting process}

H01L 24/13 ・・・・{of an individual bump connector}

H01L 24/14 ・・・・{of a plurality of bump connectors}

H01L 24/15 ・・・{Structure, shape, material or disposition of the bump connectors after the connecting process}

H01L 24/16 ・・・・{of an individual bump connector}

H01L 24/17 ・・・・{of a plurality of bump connectors}

H01L 24/18 ・・{High density interconnect [HDI} connectors; Manufacturing methods related thereto (interconnection structure between a plurality of semiconductor chips H01L 23/5389)]

  WARNING - H01L 24/82 2. Pending reorganisation, subgroups of this group are not complete; see also this group

H01L 24/19 ・・・{Manufacturing methods of high density interconnect preforms}

H01L 24/20 ・・・{Structure, shape, material or disposition of high density interconnect preforms}

H01L 24/23 ・・・{Structure, shape, material or disposition of the high density interconnect connectors after the connecting process}

H01L 24/24 ・・・・{of an individual high density interconnect connector}

H01L 24/25 ・・・・{of a plurality of high density interconnect connectors}

H01L 24/26 ・・{Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto}

H01L 24/27 ・・・{Manufacturing methods}

H01L 24/28 ・・・{Structure, shape, material or disposition of the layer connectors prior to the connecting process}

H01L 24/29 ・・・・{of an individual layer connector}

H01L 24/30 ・・・・{of a plurality of layer connectors}

H01L 24/31 ・・・{Structure, shape, material or disposition of the layer connectors after the connecting process}

H01L 24/32 ・・・・{of an individual layer connector}

H01L 24/33 ・・・・{of a plurality of layer connectors}

H01L 24/34 ・・{Strap connectors, e.g. copper straps for grounding power devices; Manufacturing methods related thereto}

  WARNING - H01L 24/01, H01L 24/42, H01L 24/85 2. Pending reorganisation, subgroups of this group are not complete; see also this group

H01L 24/35 ・・・{Manufacturing methods}

H01L 24/36 ・・・{Structure, shape, material or disposition of the strap connectors prior to the connecting process}

H01L 24/37 ・・・・{of an individual strap connector}

H01L 24/38 ・・・・{of a plurality of strap connectors}

H01L 24/39 ・・・{Structure, shape, material or disposition of the strap connectors after the connecting process}

H01L 24/40 ・・・・{of an individual strap connector}

H01L 24/41 ・・・・{of a plurality of strap connectors}

H01L 24/42 ・・{Wire connectors; Manufacturing methods related thereto}

  WARNING

H01L 24/43 ・・・{Manufacturing methods}

  WARNING - H01L 21/4885 and subgroups, H01L 24/42, H01L 24/85

H01L 24/44 ・・・{Structure, shape, material or disposition of the wire connectors prior to the connecting process}

  WARNING - H01L 24/42, H01L 24/85

H01L 24/45 ・・・・{of an individual wire connector}

H01L 24/46 ・・・・{of a plurality of wire connectors}

H01L 24/47 ・・・{Structure, shape, material or disposition of the wire connectors after the connecting process}

  WARNING - H01L 24/42, H01L 24/85]

H01L 24/48 ・・・・{of an individual wire connector}

  WARNING - H01L 24/42, H01L 24/85

H01L 24/49 ・・・・{of a plurality of wire connectors}

  WARNING - H01L 24/42, H01L 24/85]

H01L 24/50 ・・{Tape automated bonding [TAB} connectors, i.e. film carriers; Manufacturing methods related thereto (thin flexible metallic tape with or without a film carrier H01L 23/49572, flexible insulating substrates H01L 23/4985, H01L 23/5387)]

  WARNING - H01L 24/86

H01L 24/63 ・・{Connectors not provided for in any of the groups H01L 24/10 to H01L 24/50 and subgroups; Manufacturing methods related thereto}

H01L 24/64 ・・・{Manufacturing methods}

H01L 24/65 ・・・{Structure, shape, material or disposition of the connectors prior to the connecting process}

H01L 24/66 ・・・・{of an individual connector}

H01L 24/67 ・・・・{of a plurality of connectors}

H01L 24/68 ・・・{Structure, shape, material or disposition of the connectors after the connecting process}

H01L 24/69 ・・・・{of an individual connector}

H01L 24/70 ・・・・{of a plurality of connectors}

H01L 24/71 ・{Means for bonding not being attached to, or not being formed on, the surface to be connected (holders for supporting the complete device in operation H01L 23/32)}

H01L 24/72 ・・{Detachable connecting means consisting of mechanical auxiliary parts connecting the device, e.g. pressure contacts using springs or clips}

H01L 24/73 ・{Means for bonding being of different types provided for in two or more of groups H01L 24/10, H01L 24/18, H01L 24/26, H01L 24/34, H01L 24/42, H01L 24/50, H01L 24/63, H01L 24/71}

H01L 24/74 ・{Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies}

H01L 24/741 ・・{Apparatus for manufacturing means for bonding, e.g. connectors}

H01L 24/742 ・・・{Apparatus for manufacturing bump connectors}

H01L 24/743 ・・・{Apparatus for manufacturing layer connectors}

H01L 24/744 ・・・{Apparatus for manufacturing strap connectors}

H01L 24/745 ・・・{Apparatus for manufacturing wire connectors}

H01L 24/75 ・・{Apparatus for connecting with bump connectors or layer connectors}

H01L 24/76 ・・{Apparatus for connecting with build-up interconnects}

H01L 24/77 ・・{Apparatus for connecting with strap connectors}

H01L 24/78 ・・{Apparatus for connecting with wire connectors}

H01L 24/79 ・・{Apparatus for Tape Automated Bonding [TAB]}

H01L 24/799 ・・{Apparatus for disconnecting}

H01L 24/80 ・{Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected}

  WARNING - H01L 21/602. Subgroups of this group are not complete; see also this group and the other subgroups

H01L 24/81 ・・{using a bump connector}

  WARNING - H01L 21/60C4

H01L 24/82 ・・{by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI}(interconnection structure between a plurality of semiconductor chips H01L 23/5389)]

H01L 24/83 ・・{using a layer connector}

  WARNING - H01L 21/60C2

H01L 24/84 ・・{using a strap connector}

  WARNING - H01L 24/85

H01L 24/85 ・・{using a wire connector (wire bonding in general B23K 20/004)}

H01L 24/86 ・・{using tape automated bonding [TAB}]

H01L 24/89 ・・{using at least one connector not provided for in any of the groups H01L 24/81 to H01L 24/86}

H01L 24/90 ・{Methods for connecting semiconductor or solid state bodies using means for bonding not being attached to, or not being formed on, the body surface to be connected, e.g. pressure contacts using springs or clips}

  WARNING - H01L 21/60E

H01L 24/91 ・{Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L 24/80 to H01L 24/90}

H01L 24/92 ・・{Specific sequence of method steps}

H01L 24/93 ・{Batch processes}

  WARNING - H01L 24/80 and subgroups and H01L 24/90

H01L 24/94 ・・{at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices}

H01L 24/95 ・・{at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips}

H01L 24/96 ・・・{the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting}

H01L 24/97 ・・・{the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting}

H01L 24/98 ・{Methods for disconnecting semiconductor or solid-state bodies}

H01L 25/00 Assemblies consisting of a plurality of individual semiconductor or other solid state devices {; Multistep manufacturing processes thereof}({lead frames with assemblies of semiconductor devices thereon H01L 23/49575; assembling semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L 21/06 to H01L 21/326, e.g. sealing of a cap to a base of a container, H01L 21/50}; devices consisting of a plurality of solid state components formed in or on a common substrate H01L 27/00; assemblies of photoelectric cells H01L 31/042, {H01G 9/20}; generators using solar cells or solar panels {H02S}; details of complete circuit assemblies for which provision exists in another subclass, e.g. details of television receivers, see the relevant subclass, e.g. H04N; details of assemblies of electrical components in general H05K)

H01L 25/03 ・all the devices being of a type provided for in the same subgroup of groups H01L 27/00 to H01L 51/00, e.g. assemblies of rectifier diodes

H01L 25/04 ・・the devices not having separate containers

H01L 25/041 ・・・{the devices being of a type provided for in group H01L 31/00}

H01L 25/042 ・・・・{the devices being arranged next to each other (solar cells H01L 31/042)}

H01L 25/043 ・・・・{Stacked arrangements of devices}

H01L 25/045 ・・・・・{the devices being solar cells}

H01L 25/046 ・・・{the devices being of a type provided for in group H01L 51/00}

H01L 25/047 ・・・・{the devices being of a type provided for in group H01L 51/42, e.g. photovoltaic modules based on organic solar cells}

H01L 25/048 ・・・・{the devices being of a type provided for in group H01L 51/50 , e.g. assembly of organic light emitting devices}

H01L 25/065 ・・・the devices being of a type provided for in group H01L 27/00

  NOTE - Group H01L 25/0652 takes precedence over groups H01L 25/0655 and H01L 25/0657

H01L 25/0652 ・・・・{the devices being arranged next and on each other, i.e. mixed assemblies}

H01L 25/0655 ・・・・{the devices being arranged next to each other}

H01L 25/0657 ・・・・{Stacked arrangements of devices}

H01L 25/07 ・・・the devices being of a type provided for in group H01L 29/00

  NOTE - Group H01L 25/071 takes precedence over groups H01L 25/072 to H01L 25/074

H01L 25/071 ・・・・{the devices being arranged next and on each other, i.e. mixed assemblies}

H01L 25/072 ・・・・{the devices being arranged next to each other}

H01L 25/073 ・・・・{Apertured devices mounted on one or more rods passed through the apertures}

H01L 25/074 ・・・・{Stacked arrangements of non-apertured devices}

H01L 25/075 ・・・the devices being of a type provided for in group H01L 33/00

H01L 25/0753 ・・・・{the devices being arranged next to each other}

H01L 25/0756 ・・・・{Stacked arrangements of devices}

H01L 25/10 ・・the devices having separate containers

H01L 25/105 ・・・{the devices being of a type provided for in group H01L 27/00}

  NOTE - H01L 25/105, details of the assemblies are to be further indexed by using the indexing codes chosen from H01L 2225/1005 and subgroups

H01L 25/11 ・・・the devices being of a type provided for in group H01L 29/00

  NOTE - Group H01L 25/112 takes precedence over groups H01L 25/115 and H01L 25/117

H01L 25/112 ・・・・{Mixed assemblies}

H01L 25/115 ・・・・{the devices being arranged next to each other}

H01L 25/117 ・・・・{Stacked arrangements of devices}

H01L 25/13 ・・・the devices being of a type provided for in group H01L 33/00

H01L 25/16 ・the devices being of types provided for in two or more different main groups ofH01L 27/00 toH01L 49/00{andH01L 51/00}, e.g. forming hybrid circuits{(interconnections for hybrid circuitsH01L 23/5389)}

H01L 25/162 ・・{the devices being mounted on two or more different substrates}

H01L 25/165 ・・{Containers}

H01L 25/167 ・・{comprising optoelectronic devices, e.g. LED, photodiodes}

H01L 25/18 ・the devices being of types provided for in two or more different subgroups of the same main group of groups H01L 27/00 to H01L 51/00{(comprising devices provided for in H01L 27/144 and subgroups, see H01L 27/144 and subgroups)}

H01L 25/50 ・{Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L 27/00 or H01L 29/00(H01L 21/50 takes precedence)}

H01L 27/00 Devices consisting of a plurality of semiconductor or other solid state components formed in or on a common substrate (processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof H01L 21/70, H01L 31/00 to H01L 51/00; details thereof H01L 23/00, H01L 29/00 to H01L 51/00; assemblies consisting of a plurality of individual solid state devices H01L 25/00; assemblies of electrical components in general H05K)

  NOTE - In this group, in the absence of an indication to the contrary, classification is made in the last appropriate place.

H01L 27/01 ・comprising only passive thin-film or thick-film elements formed on a common insulating substrate {(passive two-terminal components without a potential-jump or surface barrier for integrated circuits, details thereof and multistep manufacturing processes therefor H01L 28/00)}

  NOTE - In groups H01L 27/01 to H01L 27/26, in the absence of an indication to the contrary, classification is made in the last appropriate place.

H01L 27/013 ・・{Thick-film circuits}

H01L 27/016 ・・{Thin-film circuits}

H01L 27/02 ・including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier

H01L 27/0203 ・・{Particular design considerations for integrated circuits}

H01L 27/0207 ・・・{Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique}

H01L 27/0211 ・・・・{adapted for requirements of temperature (cooling arrangements per se H01L 23/34)}

H01L 27/0214 ・・・{for internal polarisation, e.g. I2L}

H01L 27/0218 ・・・・{of field effect structures}

H01L 27/0222 ・・・・・{Charge pumping, substrate bias generation structures (circuits G05F 3/205)}

H01L 27/0225 ・・・・・{Charge injection in static induction transistor logic structures, i.e. SITL (circuits H03K 19/0912)}

H01L 27/0229 ・・・・{of bipolar structures}

H01L 27/0233 ・・・・・{Integrated injection logic structures, i.e. I2L (circuits H03K 19/091)}

H01L 27/0237 ・・・・・・{using vertical injector structures}

H01L 27/024 ・・・・・・{using field effect injector structures}

H01L 27/0244 ・・・・・・{I2L structures integrated in combination with analog structures}

H01L 27/0248 ・・・{for electrical or thermal protection, e.g. electrostatic discharge [ESD} protection (emergency protective circuit arrangements H02H; circuit arrangements for protecting electronic switches H03K 17/08; circuit arrangements for protecting logic circuits H03K 19/003)]

H01L 27/0251 ・・・・{for MOS devices}

H01L 27/0255 ・・・・・{using diodes as protective elements (diode connected field effect transistors H01L 27/0266; diode connected bipolar transistors H01L 27/0259)}

H01L 27/0259 ・・・・・{using bipolar transistors as protective elements}

H01L 27/0262 ・・・・・・{including a PNP transistor and a NPN transistor, wherein each of said transistors has its base coupled to the collector of the other transistor, e.g. silicon controlled rectifier [SCR} devices]

H01L 27/0266 ・・・・・{using field effect transistors as protective elements}

H01L 27/027 ・・・・・・{specially adapted to provide an electrical current path other than the field effect induced current path}

H01L 27/0274 ・・・・・・・{involving a parasitic bipolar transistor triggered by the electrical biasing of the gate electrode of the field effect transistor, e.g. gate coupled transistors}

H01L 27/0277 ・・・・・・・{involving a parasitic bipolar transistor triggered by the local electrical biasing of the layer acting as base of said parasitic bipolar transistor}

H01L 27/0281 ・・・・・・{field effect transistors in a "Darlington-like" configuration}

H01L 27/0285 ・・・・・・{bias arrangements for gate electrode of field effect transistors, e.g. RC networks, voltage partitioning circuits (H01L 27/0281 takes precedence)}

H01L 27/0288 ・・・・・{using passive elements as protective elements, e.g. resistors, capacitors, inductors, spark-gaps}

H01L 27/0292 ・・・・・{using a specific configuration of the conducting means connecting the protective devices, e.g. ESD buses}

H01L 27/0296 ・・・・・{involving a specific disposition of the protective devices}

H01L 27/04 ・・the substrate being a semiconductor body

H01L 27/06 ・・・including a plurality of individual components in a non-repetitive configuration

H01L 27/0605 ・・・・{integrated circuits made of compound material, e.g. AIIIBV}

H01L 27/0611 ・・・・{integrated circuits having a two-dimensional layout of components without a common active region}

H01L 27/0617 ・・・・・{comprising components of the field-effect type (H01L 27/0251 takes precedence)}

H01L 27/0623 ・・・・・・{in combination with bipolar transistors}

H01L 27/0629 ・・・・・・{in combination with diodes, or resistors, or capacitors}

H01L 27/0635 ・・・・・・{in combination with bipolar transistors and diodes, or resistors, or capacitors}

H01L 27/0641 ・・・・・{without components of the field effect type}

H01L 27/0647 ・・・・・・{Bipolar transistors in combination with diodes, or capacitors, or resistors, e.g. vertical bipolar transistor and bipolar lateral transistor and resistor}

H01L 27/0652 ・・・・・・・{Vertical bipolar transistor in combination with diodes, or capacitors, or resistors}

H01L 27/0658 ・・・・・・・・{Vertical bipolar transistor in combination with resistors or capacitors}

H01L 27/0664 ・・・・・・・・{Vertical bipolar transistor in combination with diodes}

H01L 27/067 ・・・・・・・{Lateral bipolar transistor in combination with diodes, or capacitors, or resistors}

H01L 27/0676 ・・・・・・{comprising combinations of diodes, or capacitors or resistors}

H01L 27/0682 ・・・・・・・{comprising combinations of capacitors and resistors}

H01L 27/0688 ・・・・{Integrated circuits having a three-dimensional layout}

H01L 27/0694 ・・・・・{comprising components formed on opposite sides of a semiconductor substrate}

H01L 27/07 ・・・・the components having an active region in common

H01L 27/0705 ・・・・・{comprising components of the field effect type}

H01L 27/0711 ・・・・・・{in combination with bipolar transistors and diodes, or capacitors, or resistors}

H01L 27/0716 ・・・・・・・{in combination with vertical bipolar transistors and diodes, or capacitors, or resistors}

H01L 27/0722 ・・・・・・・{in combination with lateral bipolar transistors and diodes, or capacitors, or resistors}

H01L 27/0727 ・・・・・・{in combination with diodes, or capacitors or resistors}

H01L 27/0733 ・・・・・・・{in combination with capacitors only}

H01L 27/0738 ・・・・・・・{in combination with resistors only}

H01L 27/0744 ・・・・・{without components of the field effect type}

H01L 27/075 ・・・・・・{Bipolar transistors in combination with diodes, or capacitors, or resistors, e.g. lateral bipolar transistor, and vertical bipolar transistor and resistor}

H01L 27/0755 ・・・・・・・{Vertical bipolar transistor in combination with diodes, or capacitors, or resistors}

H01L 27/0761 ・・・・・・・・{Vertical bipolar transistor in combination with diodes only}

H01L 27/0766 ・・・・・・・・・{with Schottky diodes only}

H01L 27/0772 ・・・・・・・・{Vertical bipolar transistor in combination with resistors only}

H01L 27/0777 ・・・・・・・・{Vertical bipolar transistor in combination with capacitors only}

H01L 27/0783 ・・・・・・・{Lateral bipolar transistors in combination with diodes, or capacitors, or resistors}

H01L 27/0788 ・・・・・・{comprising combinations of diodes or capacitors or resistors}

H01L 27/0794 ・・・・・・・{Combinations of capacitors and resistors}

H01L 27/08 ・・・including only semiconductor components of a single kind

H01L 27/0802 ・・・・{Resistors only}

H01L 27/0805 ・・・・{Capacitors only}

H01L 27/0808 ・・・・・{Varactor diodes}

H01L 27/0811 ・・・・・{MIS diodes}

H01L 27/0814 ・・・・{Diodes only}

H01L 27/0817 ・・・・{Thyristors only}

H01L 27/082 ・・・・including bipolar components only

H01L 27/0821 ・・・・・{Combination of lateral and vertical transistors only}

H01L 27/0823 ・・・・・{including vertical bipolar transistors only}

H01L 27/0825 ・・・・・・{Combination of vertical direct transistors of the same conductivity type having different characteristics, (e.g. Darlington transistors)}

H01L 27/0826 ・・・・・・{Combination of vertical complementary transistors}

H01L 27/0828 ・・・・・・{Combination of direct and inverse vertical transistors}

H01L 27/085 ・・・・{including field-effect components only}

H01L 27/088 ・・・・・the components being field-effect transistors with insulated gate

H01L 27/0883 ・・・・・・{Combination of depletion and enhancement field effect transistors}

H01L 27/0886 ・・・・・・{including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET}

H01L 27/092 ・・・・・・Complementary MIS field-effect transistors

H01L 27/0921 ・・・・・・・{Means for preventing a bipolar, e.g. thyristor, action between the different transistor regions, e.g. Latchup prevention}

H01L 27/0922 ・・・・・・・{Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS}

H01L 27/0924 ・・・・・・・{including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET}

H01L 27/0925 ・・・・・・・{comprising an N-well only in the substrate}

H01L 27/0927 ・・・・・・・{comprising a P-well only in the substrate}

H01L 27/0928 ・・・・・・・{comprising both N- and P- wells in the substrate, e.g. twin-tub}

H01L 27/095 ・・・・・the components being Schottky barrier gate field-effect transistors

H01L 27/098 ・・・・・the components being PN junction gate field-effect transistors

H01L 27/10 ・・・including a plurality of individual components in a repetitive configuration

H01L 27/101 ・・・・{including resistors or capacitors only}

H01L 27/102 ・・・・including bipolar components

H01L 27/1021 ・・・・・{including diodes only}

H01L 27/1022 ・・・・・{including bipolar transistors}

H01L 27/1023 ・・・・・・{Bipolar dynamic random access memory structures (circuits G11C 11/24, G11C 11/34)}

H01L 27/1024 ・・・・・・{Arrays of single bipolar transistors only, e.g. read only memory structures}

H01L 27/1025 ・・・・・・{Static bipolar memory cell structures (circuits G11C 11/40)}

H01L 27/1026 ・・・・・・{Bipolar electrically programmable memory structures (using fuses H01L 23/525)}

H01L 27/1027 ・・・・・{Thyristors}

H01L 27/1028 ・・・・・{Double base diodes}

H01L 27/105 ・・・・including field-effect components

  WARNING -

H01L 27/1052 ・・・・・{Memory structures and multistep manufacturing processes therefor not provided for in groups H01L 27/1055 to H01L 27/112}

H01L 27/1055 ・・・・・{comprising charge coupled devices of the so-called bucket brigade type}

H01L 27/1057 ・・・・・{comprising charge coupled devices (CCD) or charge injection devices (CID)}

H01L 27/108 ・・・・・Dynamic random access memory structures (circuits G11C 1/24, G11C 11/34)

  NOTE - In this group and its subgroups classification is made in any appropriate place

H01L 27/10802 ・・・・・・{comprising floating-body transistors, e.g. floating-body cells (floating-body transistors per se H01L 29/7841)}

H01L 27/10805 ・・・・・・{with one-transistor one-capacitor memory cells}

H01L 27/10808 ・・・・・・・{the storage electrode stacked over transistor}

H01L 27/10811 ・・・・・・・・{with bit line higher than capacitor}

H01L 27/10814 ・・・・・・・・{with capacitor higher than bit line level}

H01L 27/10817 ・・・・・・・・{the storage electrode having multiple wings}

H01L 27/1082 ・・・・・・・{the capacitor extending under transfer transistor area}

H01L 27/10823 ・・・・・・・{the transistor having a trench structure in the substrate}

H01L 27/10826 ・・・・・・・{the transistor being of the FinFET type}

  WARNING - not complete pending completion of reclassification; see also H01L 27/10823

H01L 27/10829 ・・・・・・・{the capacitor being in a substrate trench}

H01L 27/10832 ・・・・・・・・{the capacitor extending under or around transfer transistor area}

H01L 27/10835 ・・・・・・・・{having storage electrode extension stacked over transistor}

H01L 27/10838 ・・・・・・・{the capacitor and the transistor being in one trench}

H01L 27/10841 ・・・・・・・・{the transistor being vertical}

H01L 27/10844 ・・・・・・{Multistep manufacturing methods}

H01L 27/10847 ・・・・・・・{for structures comprising one transistor one-capacitor memory cells}

  WARNING - not complete pending completion of reclassification; see also H01L 27/10844

H01L 27/1085 ・・・・・・・・{with at least one step of making the capacitor or connections thereto (making a capacitor for integrated circuits H01L 28/40, H01L 29/66181)}

H01L 27/10852 ・・・・・・・・・{the capacitor extending over the access transistor}

H01L 27/10855 ・・・・・・・・・・{with at least one step of making a connection between transistor and capacitor, e.g. plug}

H01L 27/10858 ・・・・・・・・・{the capacitor extending under the access transistor area}

H01L 27/10861 ・・・・・・・・・{the capacitor being in a substrate trench}

H01L 27/10864 ・・・・・・・・・・{in combination with a vertical transistor}

H01L 27/10867 ・・・・・・・・・・{with at least one step of making a connection between transistor and capacitor, e.g. buried strap}

H01L 27/1087 ・・・・・・・・・・{with at least one step of making the trench}

H01L 27/10873 ・・・・・・・・{with at least one step of making the transistor (making the transistor per se H01L 29/66409)}

H01L 27/10876 ・・・・・・・・・{the transistor having a trench structure in the substrate (vertical transistor in combination with a capacitor formed in a substrate trench H01L 27/10864)}

H01L 27/10879 ・・・・・・・・・{the transistor being of the FinFET type}

  WARNING - not complete pending completion of reclassification; see also H01L 27/10876

H01L 27/10882 ・・・・・・・・{with at least one step of making a data line}

H01L 27/10885 ・・・・・・・・・{with at least one step of making a bit line}

H01L 27/10888 ・・・・・・・・・{with at least one step of making a bit line contact}

H01L 27/10891 ・・・・・・・・・{with at least one step of making a word line}

H01L 27/10894 ・・・・・・・{with simultaneous manufacture of periphery and memory cells}

H01L 27/10897 ・・・・・・{Peripheral structures}

H01L 27/11 ・・・・・Static random access memory structures {and multistep manufacturing processes therefor (circuits G11C 11/40)}

H01L 27/1104 ・・・・・・{the load element being a MOSFET transistor}

H01L 27/1108 ・・・・・・・{the load element being a thin film transistor}

H01L 27/1112 ・・・・・・{the load element being a resistor (resistors for integrated circuits H01L 28/20, H01L 29/8605)}

H01L 27/1116 ・・・・・・{Peripheral circuit region}

  WARNING - not complete, pending reorganisation, see provisionally also H01L 27/105

H01L 27/112 ・・・・・Read-only memory structures {[ROM} and multistep manufacturing processes therefor]

H01L 27/11206 ・・・・・・{Programmable ROM [PROM], e.g. memory cells comprising a transistor and a fuse or an antifuse}

H01L 27/11213 ・・・・・・{ROM only}

H01L 27/1122 ・・・・・・・{with source and drain on the same level, e.g. lateral transistors}

H01L 27/11226 ・・・・・・・・{Source or drain contact programmed}

H01L 27/11233 ・・・・・・・・{Gate programmed, e.g. different gate material or no gate}

H01L 27/1124 ・・・・・・・・・{Gate contact programmed}

H01L 27/11246 ・・・・・・・・・{Gate dielectric programmed, e.g. different thickness}

H01L 27/11253 ・・・・・・・・{Doping programmed, e.g. mask ROM}

H01L 27/1126 ・・・・・・・・・{Entire channel doping programmed}

H01L 27/11266 ・・・・・・・・・{Source or drain doping programmed}

H01L 27/11273 ・・・・・・・{with source and drain on different levels, e.g. vertical channel}

H01L 27/1128 ・・・・・・・{with transistors on different levels, e.g. 3D ROM}

H01L 27/11286 ・・・・・・{Peripheral circuit regions}

  WARNING - not complete pending reorganisation, see provisionally also H01L 27/105

H01L 27/11293 ・・・・・・・{of memory structures of the ROM-only type}

H01L 27/115 ・・・・・・Electrically programmable read-only memories {and multistep manufacturing processes therefor}

H01L 27/11502 ・・・・・・・{with ferroelectric memory capacitor}

H01L 27/11504 ・・・・・・・・{Top-view layout}

  WARNING - Not complete, see H01L 27/11502

H01L 27/11507 ・・・・・・・・{Memory core region}

H01L 27/11509 ・・・・・・・・{Peripheral circuit region}

H01L 27/11512 ・・・・・・・・{Boundary region between core and peripheral circuit region}

  WARNING - Not complete, see H01L 27/11502

H01L 27/11514 ・・・・・・・・{Three-dimensional arrangements, e.g. cells on different height levels}

  WARNING - Not complete, see H01L 27/11502

H01L 27/11517 ・・・・・・・{with floating gate}

  WARNING - Group H01L 27/11517 and subgroups are not complete pending reorganisation. See also H01L 27/115

H01L 27/11519 ・・・・・・・・{Top-view layout}

H01L 27/11521 ・・・・・・・・{Memory core region core region (three-dimensional arrangements H01L 27/11551)}

H01L 27/11524 ・・・・・・・・・{with at least one cell select transistor, e.g. NAND}

H01L 27/11526 ・・・・・・・・{Peripheral circuit region}

H01L 27/11529 ・・・・・・・・・{of memory regions comprising at least one cell select transistor, e.g. NAND}

H01L 27/11531 ・・・・・・・・・{Simultaneous fabrication of periphery and memory cells}

H01L 27/11534 ・・・・・・・・・・{including only one type of peripheral transistor}

H01L 27/11536 ・・・・・・・・・・・{Control gate layer used for the peripheral transistor}

H01L 27/11539 ・・・・・・・・・・・{Intergate dielectric layer used for the peripheral transistor}

H01L 27/11541 ・・・・・・・・・・・{Floating-gate layer used for the peripheral transistor}

H01L 27/11543 ・・・・・・・・・・・{Tunnel dielectric layer used for the peripheral transistor}

H01L 27/11546 ・・・・・・・・・・{including different types of peripheral transistors}

H01L 27/11548 ・・・・・・・・{Boundary region between core and peripheral circuit regions}

H01L 27/11551 ・・・・・・・・{Three-dimensional arrangements, e.g. cells on different height levels}

H01L 27/11553 ・・・・・・・・・{with source and drain on different levels, e.g. with sloping channel}

H01L 27/11556 ・・・・・・・・・・{the channel comprising at least one vertical portion, e.g. U-shaped channel}

  WARNING - not complete pending reorganisation, see provisionally also H01L 27/11551

H01L 27/11558 ・・・・・・・・{the control gate being a doped region, e.g. single-poly memory cells}

H01L 27/1156 ・・・・・・・・N: the floating gate being an electrode shared by a plurality of components]

H01L 27/11563 ・・・・・・・{with charge trapping gate insulator, e.g. MNOS, NROM}

H01L 27/11565 ・・・・・・・・{Top-view layout}

  WARNING - Not complete, see H01L 27/115

H01L 27/11568 ・・・・・・・・{Memory core region (three-dimensional arrangements H01L 27/11578)}

H01L 27/1157 ・・・・・・・・・{with at least one cell select transistor, e.g. NAND}

H01L 27/11573 ・・・・・・・・{Peripheral circuit region}

H01L 27/11575 ・・・・・・・・{Boundary region between core and peripheral circuit region}

  WARNING - Not complete, see H01L 27/115

H01L 27/11578 ・・・・・・・・{Three-dimensional arrangements, e.g. cells on different height levels}

  WARNING - Not complete, see H01L 27/115

H01L 27/1158 ・・・・・・・・・{with source and drain on different levels, e.g. with sloping channel}

H01L 27/11582 ・・・・・・・・・・{the channel comprising at least one vertical portion, e.g. U-shaped channel}

  WARNING - not complete pending reorganisation, see provisionally also H01L 27/11578

H01L 27/11585 ・・・・・・・{with gate electrode comprising a layer which is used for its ferroelectric memory properties, e.g. MFS (metal-ferroelectric-semiconductor), MFMIS (metal-ferroelectric-metal-insulator-semiconductor)}

H01L 27/11587 ・・・・・・・・{Top-view layout}

  WARNING - Not complete, see H01L 27/11585

H01L 27/1159 ・・・・・・・・{Memory core region}

H01L 27/11592 ・・・・・・・・{Peripheral circuit region}

H01L 27/11595 ・・・・・・・・{Boundary region between core and peripheral circuit region}

  WARNING - Not complete, see H01L 27/11585

H01L 27/11597 ・・・・・・・・{Three-dimensional arrangements, e.g. cells on different height levels}

  WARNING - Not complete, see H01L 27/11585

H01L 27/118 ・・・・Masterslice integrated circuits

H01L 27/11801 ・・・・・{using bipolar technology}

H01L 27/11803 ・・・・・{using field effect technology}

H01L 27/11807 ・・・・・・{CMOS gate arrays}

H01L 27/11896 ・・・・・{using combined field effect/bipolar technology}

H01L 27/11898 ・・・・・{Input and output buffer/driver structures}

H01L 27/12 ・・the substrate being other than a semiconductor body, e.g. an insulating body

H01L 27/1203 ・・・{the substrate comprising an insulating body on a semiconductor body, e.g. SOI (three-dimensional layout H01L 27/0688)}

H01L 27/1207 ・・・・{combined with devices in contact with the semiconductor body, i.e. bulk/SOI hybrid circuits}

H01L 27/1211 ・・・・{combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET}

H01L 27/1214 ・・・{comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs}

  WARNING - Subgroups of H01L 27/1214 are not complete, pending reorganisation; see provisionally also this group

H01L 27/1218 ・・・・{with a particular composition or structure of the substrate}

H01L 27/1222 ・・・・{with a particular composition, shape or crystalline structure of the active layer}

H01L 27/1225 ・・・・・{with semiconductor materials not belonging to the group IV of the periodic table, e.g. InGaZnO}

H01L 27/1229 ・・・・・{with different crystal properties within a device or between different devices}

H01L 27/1233 ・・・・・{with different thicknesses of the active layer in different devices}

H01L 27/1237 ・・・・{with a different composition, shape, layout or thickness of the gate insulator in different devices}

H01L 27/124 ・・・・{with a particular composition, shape or layout of the wiring layers specially adapted to the circuit arrangement, e.g. scanning lines in LCD pixel circuits (wiring structures per se H01L 23/52)}

H01L 27/1244 ・・・・・{for preventing breakage, peeling or short circuiting}

H01L 27/1248 ・・・・{with a particular composition or shape of the interlayer dielectric specially adapted to the circuit arrangement}

H01L 27/1251 ・・・・{comprising TFTs having a different architecture, e.g. top- and bottom gate TFTs}

H01L 27/1255 ・・・・{integrated with passive devices, e.g. auxiliary capacitors}

H01L 27/1259 ・・・・{Multistep manufacturing methods}

H01L 27/1262 ・・・・・{with a particular formation, treatment or coating of the substrate}

H01L 27/1266 ・・・・・・{the substrate on which the devices are formed not being the final device substrate, e.g. using a temporary substrate}

H01L 27/127 ・・・・・{with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement}

H01L 27/1274 ・・・・・・{using crystallisation of amorphous semiconductor or recrystallisation of crystalline semiconductor (crystallisation per se H01L 21/02667)}

H01L 27/1277 ・・・・・・・{using a crystallisation promoting species, e.g. local introduction of Ni catalyst}

H01L 27/1281 ・・・・・・・{by using structural features to control crystal growth, e.g. placement of grain filters}

H01L 27/1285 ・・・・・・・{using control of the annealing or irradiation parameters, e.g. using different scanning direction or intensity for different transistors}

H01L 27/1288 ・・・・・{employing particular masking sequences or specially adapted masks, e.g. half-tone mask}

H01L 27/1292 ・・・・・{using liquid deposition, e.g. printing}

H01L 27/1296 ・・・・・{adapted to increase the uniformity of device parameters}

H01L 27/13 ・・・combined with thin-film or thick-film passive components {(passive two-terminal components without a potential-jump or surface barrier for integrated circuits, details thereof and multistep manufacturing processes therefor H01L 28/00)}

H01L 27/14 ・including semiconductor components sensitive to infra-red radiation, light, electromagnetic radiation of shorter wavelength, or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation (radiation-sensitive components structurally associated with one or more electric light sources only H01L 31/14; couplings of light guides with optoelectronic elements G02B 6/42)

H01L 27/142 ・・Energy conversion devices

H01L 27/1421 ・・・{comprising bypass diodes integrated or directly associated with the device, e.g. bypass diode integrated or formed in or on the same substrate as the solar cell}

H01L 27/1422 ・・・{in a repetitive configuration, e.g. planar multijunction solar cells}

H01L 27/1423 ・・・・{comprising only thin film solar cells deposited on a substrate, e.g. thin film (a-Si, CIS, CdTe) solar modules}

H01L 27/1425 ・・・・・{characterized by special patterning methods to connect the cells in a module, e.g. laser cutting of the conductive and/or active layers}

H01L 27/1426 ・・・・・{comprising particular structures for the electrical interconnection of adjacent solar cells in the module}

H01L 27/1427 ・・・・・{comprising specific means for obtaining a partial light transmission of the module, e.g. partially transparent thin film solar modules for windows}

H01L 27/1428 ・・・・{comprising multiple vertical junction or V-groove junction solar cells formed in a semiconductor substrate}

H01L 27/144 ・・Devices controlled by radiation

H01L 27/1443 ・・・{with at least one potential jump or surface barrier}

H01L 27/1446 ・・・{in a repetitive configuration}

H01L 27/146 ・・・Imager structures

H01L 27/14601 ・・・・{Structural or functional details thereof}

H01L 27/14603 ・・・・・{Special geometry or disposition of pixel-elements, address-lines or gate-electrodes}

H01L 27/14605 ・・・・・・{Structural or functional details relating to the position of the pixel elements, e.g. smaller pixel elements in the center of the imager compared to pixel elements at the periphery}

H01L 27/14607 ・・・・・・{Geometry of the photosensitive area}

H01L 27/14609 ・・・・・{Pixel-elements with integrated switching, control, storage or amplification elements (scanning details of imagers H04N 3/15; circuitry of imagers H04N 5/369)}

H01L 27/1461 ・・・・・・{characterised by the photosensitive area}

H01L 27/14612 ・・・・・・{involving a transistor}

H01L 27/14614 ・・・・・・・{having a special gate structure}

H01L 27/14616 ・・・・・・・{characterised by the channel of the transistor, e.g. channel having a doping gradient}

H01L 27/14618 ・・・・・{Containers}

H01L 27/1462 ・・・・・{Coatings}

H01L 27/14621 ・・・・・・{Colour filter arrangements}

H01L 27/14623 ・・・・・・{Optical shielding}

H01L 27/14625 ・・・・・{Optical elements or arrangements associated with the device}

H01L 27/14627 ・・・・・・{Microlenses}

H01L 27/14629 ・・・・・・{Reflectors}

H01L 27/1463 ・・・・・{Pixel isolation structures}

H01L 27/14632 ・・・・・{Wafer-level processed structures}

H01L 27/14634 ・・・・・{Assemblies, i.e. Hybrid structures}

H01L 27/14636 ・・・・・{Interconnect structures}

H01L 27/14638 ・・・・・{Structures specially adapted for transferring the charges across the imager perpendicular to the imaging plane}

H01L 27/1464 ・・・・・{Back illuminated imager structures}

H01L 27/14641 ・・・・・{Electronic components shared by two or more pixel-elements, e.g. one amplifier shared by two pixel elements}

H01L 27/14643 ・・・・{Photodiode arrays; MOS imagers}

H01L 27/14645 ・・・・・{Colour imagers}

H01L 27/14647 ・・・・・・{Multicolour imagers having a stacked pixel-element structure, e.g. npn, npnpn or MQW elements}

H01L 27/14649 ・・・・・{Infra-red imagers}

H01L 27/1465 ・・・・・・{of the hybrid type}

H01L 27/14652 ・・・・・・{Multispectral infra-red imagers, having a stacked pixel-element structure, e.g. npn, npnpn or MQW structures}

H01L 27/14654 ・・・・・{Blooming suppression}

H01L 27/14656 ・・・・・・{Overflow drain structures}

H01L 27/14658 ・・・・・{X-ray, gamma-ray or corpuscular radiation imagers (measuring X-, gamma- or corpuscular radiation G01T 1/00)}

H01L 27/14659 ・・・・・・{Direct radiation imagers structures}

H01L 27/14661 ・・・・・・{of the hybrid type}

H01L 27/14663 ・・・・・・{Indirect radiation imagers e.g. using luminescent members}

H01L 27/14665 ・・・・{Imagers using a photoconductor layer}

H01L 27/14667 ・・・・・{Colour imagers}

H01L 27/14669 ・・・・・{Infra-red imagers}

H01L 27/1467 ・・・・・・{of the hybrid type}

H01L 27/14672 ・・・・・{Blooming suppression}

H01L 27/14674 ・・・・・・{Overflow drain structures}

H01L 27/14676 ・・・・・{X-ray, gamma-ray or corpuscular radiation imagers (measuring X-, gamma- or corpuscular radiation G01T 1/00)}

H01L 27/14678 ・・・・{Contact-type imagers}

H01L 27/14679 ・・・・{Junction field effect transistor (JFET) imagers; static induction transistor (SIT) imagers}

H01L 27/14681 ・・・・{Bipolar transistor imagers}

H01L 27/14683 ・・・・{Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof (not peculiar thereto H01L 21/00)}

H01L 27/14685 ・・・・・{Process for coatings or optical elements}

H01L 27/14687 ・・・・・{Wafer level processing}

H01L 27/14689 ・・・・・{MOS based technologies}

H01L 27/1469 ・・・・・{Assemblies, i.e. hybrid integration}

H01L 27/14692 ・・・・・{Thin film technologies, e.g. amorphous, poly, micro or nanocrystalline silicon}

H01L 27/14694 ・・・・・{The active layers comprising only AIIIBV compounds, e.g. GaAs, InP}

H01L 27/14696 ・・・・・{The active layers comprising only AIIBVI compounds, e.g. CdS, ZnS, CdTe}

H01L 27/14698 ・・・・・{Post-treatment for the devices, e.g. annealing, impurity-gettering, shor-circuit elimination, recrystallisation}

H01L 27/148 ・・・・Charge coupled imagers {(individual charge coupled devices H01L 29/765)}

H01L 27/14806 ・・・・・{Structural or functional details thereof}

H01L 27/14812 ・・・・・・{Special geometry or disposition of pixel-elements, address lines or gate-electrodes}

H01L 27/14818 ・・・・・・・{Optical shielding}

H01L 27/14825 ・・・・・{Linear CCD imagers}

H01L 27/14831 ・・・・・{Area CCD imagers}

H01L 27/14837 ・・・・・・{Frame-interline transfer}

H01L 27/14843 ・・・・・・{Interline transfer}

H01L 27/1485 ・・・・・・{Frame transfer}

H01L 27/14856 ・・・・・・{Time-delay and integration}

H01L 27/14862 ・・・・・{CID imagers}

H01L 27/14868 ・・・・・{CCD or CID colour imagers}

H01L 27/14875 ・・・・・{Infra-red CCD or CID imagers}

H01L 27/14881 ・・・・・・{of the hybrid type}

H01L 27/14887 ・・・・・{Blooming suppression}

H01L 27/14893 ・・・・・{comprising a photoconductive layer deposited on the CCD structure}

H01L 27/15 ・including semiconductor components with at least one potential-jump barrier or surface barrier specially adapted for light emission {(monolithically integrated components including semiconductor laser components H01S 5/026)}

H01L 27/153 ・・{in a repetitive configuration, e.g. LED bars}

H01L 27/156 ・・・{two-dimensional arrays}

H01L 27/16 ・including thermoelectric components with or without a junction of dissimilar materials; including thermomagnetic components (using the Peltier effect only for cooling of semiconductor or other solid state devices H01L 23/38)

H01L 27/18 ・including components exhibiting superconductivity

H01L 27/20 ・including piezo-electric components; including electrostrictive components; including magnetostrictive components

H01L 27/22 ・including components using galvano-magnetic effects, e.g. Hall effects; using similar magnetic field effects

H01L 27/222 ・・{Magnetic non-volatile memory structures, e.g. MRAM}

H01L 27/224 ・・・{comprising two-terminal components, e.g. diodes, MIM elements}

H01L 27/226 ・・・{comprising multi-terminal components, e.g. transistors}

H01L 27/228 ・・・・{of the field-effect transistor type}

H01L 27/24 ・including solid state components for rectifying, amplifying or switching without a potential-jump barrier or surface barrier, {e.g. resistance switching non-volatile memory structures}

  WARNING - H01L 27/2409 to H01L 27/249 are not complete pending reclassification; see provisionally also group H01L 27/24

H01L 27/2409 ・・{comprising two-terminal selection components, e.g. diodes}

H01L 27/2418 ・・・{of the metal-insulator-metal type}

H01L 27/2427 ・・・{of the Ovonic threshold switching type}

H01L 27/2436 ・・{comprising multi-terminal selection components, e.g. transistors}

H01L 27/2445 ・・・{of the bipolar type}

H01L 27/2454 ・・・{of the vertical channel field-effect transistor type}

H01L 27/2463 ・・{Arrangements comprising multiple bistable or multistable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays, details of the horizontal layout}

H01L 27/2472 ・・・{the switching components having a common active material layer}

H01L 27/2481 ・・・{arranged in a direction perpendicular to the substrate, e.g. 3D cell arrays, details of the vertical layout}

H01L 27/249 ・・・・{the switching components being connected to a common vertical conductor}

H01L 27/26 ・including bulk negative resistance effect components

H01L 27/265 ・・{Gunn effect devices}

H01L 27/28 ・including components using organic materials as the active part, or using a combination of organic materials with other materials as the active part

H01L 27/281 ・・{Integrated circuits having a three-dimensional layout}

H01L 27/283 ・・{comprising components of the field-effect type}

H01L 27/285 ・・{Integrated circuits with a common active layer, e.g. cross point devices}

H01L 27/286 ・・{with an active region comprising an inorganic semiconductor}

H01L 27/288 ・・{Combination of organic light sensitive components with organic light emitting components, e.g. optocoupler}

H01L 27/30 ・・with components specially adapted for sensing infra-red radiation, light, electromagnetic radiation of shorter wavelength, or corpuscular radiation; with components specially adapted for either the conversion of the energy of such radiation into elecrical energy or for the control of electrical energy by such radiation {(combination of organic light sensitive components with organic light emitting components, e.g. optocoupler H01L 27/288)}

H01L 27/301 ・・・{Energy conversion devices}

H01L 27/302 ・・・・{comprising multiple junctions, e.g. tandem cells}

H01L 27/304 ・・・・{in form of a fiber or a tube, e.g. photovoltaic fibers}

H01L 27/305 ・・・{Devices controlled by radiation}

H01L 27/307 ・・・・{Imager structures}

H01L 27/308 ・・・・{Devices specially adapted for detecting X-ray radiation (measuring X-radiation G01T 1/00)}

H01L 27/32 ・・with components specially adapted for light emission, e.g. flat-panel displays using organic light-emitting diodes (OLED) {combination of organic light sensitive components with organic light emitting components, e.g. optocoupler H01L 27/288}

H01L 27/3202 ・・・{OLEDs electrically connected in parallel}

H01L 27/3204 ・・・{OLEDs electrically connected in series}

H01L 27/3206 ・・・{Multi-colour light emission}

H01L 27/3209 ・・・・{using stacked OLED}

H01L 27/3211 ・・・・{using RGB sub-pixels}

  WARNING - H01L 27/3211 are not complete pending a reorganization, see provisionally also this group

H01L 27/3213 ・・・・・{using more than three sub-pixels, e.g. RGBW}

H01L 27/3216 ・・・・・{the areas of RGB sub-pixels being different}

H01L 27/3218 ・・・・・{characterised by the geometrical arrangement of the RGB sub-pixels}

H01L 27/322 ・・・・{using colour filters or colour changing media (CCM)}

H01L 27/3223 ・・・{combined with dummy elements, i.e. non-functional features}

H01L 27/3225 ・・・{OLED integrated with another component (H01L 27/3223 takes precedence)}

H01L 27/3227 ・・・・{the other component being a light sensitive element, e.g. inorganic solar cell, inorganic photodiode (H01L 27/288 takes precedence)}

H01L 27/323 ・・・・{the other component being a touch screen}

H01L 27/3232 ・・・・{the other component being a light modulating element, e.g. electrochromic element, photochromic element, liquid crystal element}

H01L 27/3234 ・・・・{the other component being an imager structure (H01L 27/146 takes precedence)}

H01L 27/3237 ・・・{Displays not provided for in group H01L 27/3241 and subgroups, e.g. segment-type displays}

H01L 27/3239 ・・・・{Light emitting logos}

H01L 27/3241 ・・・{Matrix-type displays}

  NOTE - H01L 27/3295 and H01L 27/3297 are no longer used for classification of new documents. The backfile is being reclassified to H01L 27/3244 and H01L 27/3281 and subgroups thereof

H01L 27/3244 ・・・・{Active matrix displays}

H01L 27/3246 ・・・・・{Banks, i.e. pixel defining layers}

H01L 27/3248 ・・・・・{Connection of the pixel electrode to the TFT}

H01L 27/3251 ・・・・・{Double substrate, i.e. with OLED and TFT on different substrates}

H01L 27/3253 ・・・・・・{Electrical connection of the two substrates}

H01L 27/3255 ・・・・・{Chiplets}

H01L 27/3258 ・・・・・{Insulating layers formed between TFT elements and OLED elements}

H01L 27/326 ・・・・・{special geometry or disposition of pixel-elements}

H01L 27/3262 ・・・・・・{of TFT}

H01L 27/3265 ・・・・・・{of capacitor}

H01L 27/3267 ・・・・・{Dual display, i.e. having two independent displays}

H01L 27/3269 ・・・・・{Including photosensors to control luminance}

H01L 27/3272 ・・・・・{Shielding, e.g. of TFT}

H01L 27/3274 ・・・・・{including organic thin film transistors [OTFT}]

H01L 27/3276 ・・・・・{Wiring lines}

H01L 27/3279 ・・・・・・{comprising structures specially adapted for lowering the resistance}

H01L 27/3281 ・・・・{Passive matrix displays}

H01L 27/3283 ・・・・・{Including banks or shadow masks}

H01L 27/3286 ・・・・・[Dual display, i.e. having two independent displays]

H01L 27/3288 ・・・・・{Wiring lines}

H01L 27/329 ・・・・・・{comprising structures specially adapted for lowering the resistance}

H01L 27/3293 ・・・・{Tiled displays}

H01L 27/3295 ・・・・{including banks or shadow masks}

H01L 27/3297 ・・・・{Wiring lines, e.g. power supply lines}

H01L 28/00 {Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor (testing or measuring during manufacture H01L 22/00; integration methods H01L 21/70; integrated circuits H01L 27/00; two-terminal components with a potential-jump or surface barrier H01L 29/00; resistors in general H01C; inductors in general H01F; capacitors in general H01G)}

H01L 28/10 ・{Inductors}

H01L 28/20 ・{Resistors}

H01L 28/22 ・・{with an active material comprising carbon, e.g. diamond or diamond-like carbon [DLC}]

H01L 28/24 ・・{with an active material comprising a refractory, transition or noble metal, metal compound or metal alloy, e.g. silicides, oxides, nitrides}

H01L 28/26 ・・{with an active material comprising an organic conducting material, e.g. conducting polymers}

H01L 28/40 ・{Capacitors}

H01L 28/55 ・・{with a dielectric comprising a perovskite structure material}

H01L 28/56 ・・・{the dielectric comprising two or more layers, e.g. comprising buffer layers, seed layers, gradient layers}

H01L 28/57 ・・・{comprising a barrier layer to prevent diffusion of hydrogen or oxygen}

H01L 28/60 ・・{Electrodes}

H01L 28/65 ・・・{comprising a noble metal or a noble metal oxide, e.g. platinum (Pt), ruthenium (Ru), ruthenium dioxide (RuO2), iridium (Ir), iridium dioxide (IrO2)}

H01L 28/75 ・・・{comprising two or more layers, e.g. comprising a barrier layer and a metal layer}

H01L 28/82 ・・・{with an enlarged surface, e.g. formed by texturisation}

H01L 28/84 ・・・・{being a rough surface, e.g. using hemispherical grains}

H01L 28/86 ・・・・{having horizontal extensions}

H01L 28/87 ・・・・・{made by depositing layers, e.g. by depositing alternating conductive and insulating layers}

H01L 28/88 ・・・・・{made by patterning layers, e.g. by etching conductive layers}

H01L 28/90 ・・・・{having vertical extensions}

H01L 28/91 ・・・・・{made by depositing layers, e.g. by depositing alternating conductive and insulating layers}

H01L 28/92 ・・・・・{made by patterning layers, e.g. by etching conductive layers}

H01L 29/00 Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof; {Multistep manufacturing processes therefor}(H01L 31/00-H01L 47/00, H01L 51/05 take precedence; processes or apparatus adapted for the manufacture or treatment thereof or of parts thereof H01L 21/00; details other than of semiconductor bodies or of electrodes thereof H01L 23/00; devices consisting of a plurality of solid state components formed in or on a common substrate H01L 27/00; {passive two-terminal components without a potential-jump or surface barrier for integrated circuits, details thereof and multistep manufacturing processes therefor H01L 28/00;} resistors in general H01C; capacitors in general H01G, {e.g. ceramic barrier-layer capacitors H01G 4/1272})

  NOTE - In this main group, classification is made both in groups H01L 29/02 to H01L 29/51 and in groups H01L 29/66 to H01L 29/94 if both of these sets of groups are relevant.

H01L 29/02 ・Semiconductor bodies; {Multistep manufacturing processes therefor}

H01L 29/04 ・・characterised by their crystalline structure, e.g. polycrystalline, cubic, particular orientation of crystalline planes (imperfections H01L 29/30)

H01L 29/045 ・・・{by their particular orientation of crystalline planes}

H01L 29/06 ・・characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions; {characterised by the concentration or distribution of impurities within semiconductor regions}

H01L 29/0603 ・・・{characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions (isolation regions between components H01L 21/76; design considerations for integrated circuits H01L 27/00; geometrical design considerations for devices H01L 29/0657)}

H01L 29/0607 ・・・・{for preventing surface leakage or controlling electric field concentration}

H01L 29/0611 ・・・・・{for increasing or controlling the breakdown voltage of reverse biased devices (H01L 29/0661 takes precedence)}

H01L 29/0615 ・・・・・・{by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension (JTE) (LDD or drain offset regions H01L 29/7833)}

H01L 29/0619 ・・・・・・・{with a supplementary region doped oppositely to or in rectifying contact with the semiconductor containing or contacting region, e.g. guard rings with PN or Schottky junction}

H01L 29/0623 ・・・・・・・・{Buried supplementary region, e.g. buried guard ring (multi-RESURF H01L 29/0634)}

H01L 29/0626 ・・・・・・・{with a localised breakdown region, e.g. built-in avalanching region (in self-protected thyristors H01L 29/7424)}

H01L 29/063 ・・・・・・・{Reduced surface field (RESURF) pn-junction structures}

H01L 29/0634 ・・・・・・・・{Multiple reduced surface field (multi-RESURF) structures, e.g. double RESURF, charge compensation, cool, superjunction (SJ), 3D-RESURF, composite buffer (CB) structures}

H01L 29/0638 ・・・・・{for preventing surface leakage due to surface inversion layer, e.g. with channel stopper (channel stoppers in combination with isolation region for integrated circuits H01L 21/762)}

H01L 29/0642 ・・・・{Isolation within the component, i.e. internal isolation}

H01L 29/0646 ・・・・・{PN junctions}

H01L 29/0649 ・・・・・{Dielectric regions, e.g. SiO2 regions, air gaps}

H01L 29/0653 ・・・・・・{adjoining the input or output region of a field-effect device, e.g. the source or drain region}

H01L 29/0657 ・・・{characterised by the shape of the body}

H01L 29/0661 ・・・・{specially adapted for altering the breakdown voltage by removing semiconductor material at, or in the neighbourhood of, a reverse biased junction, e.g. by bevelling, moat etching, depletion etching}

H01L 29/0665 ・・・・{the shape of the body defining a nanostructure (nanotechnology per se B82B)}

H01L 29/0669 ・・・・・{Nanowires or nanotubes (carbon nanotubes as material of solid-state device active part H01L 51/0048)}

H01L 29/0673 ・・・・・・{oriented parallel to a substrate}

H01L 29/0676 ・・・・・・{oriented perpendicular or at an angle to a substrate}

H01L 29/068 ・・・・・・{comprising a junction}

H01L 29/0684 ・・・{characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions}

H01L 29/0688 ・・・・{characterised by the particular shape of a junction between semiconductor regions}

H01L 29/0692 ・・・・{Surface layout}

H01L 29/0696 ・・・・・{of cellular field-effect devices, e.g. multicellular DMOS transistors or IGBTs}

H01L 29/08 ・・・with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes

H01L 29/0804 ・・・・{Emitter regions of bipolar transistors}

H01L 29/0808 ・・・・・{of lateral transistors}

H01L 29/0813 ・・・・・{Non-interconnected multi-emitter structures}

H01L 29/0817 ・・・・・{of heterojunction bipolar transistors (H01L 29/7375 takes precedence)}

H01L 29/0821 ・・・・{Collector regions of bipolar transistors}

H01L 29/0826 ・・・・・{Pedestal collectors}

H01L 29/083 ・・・・{Anode or cathode regions of thyristors or gated bipolar-mode devices}

H01L 29/0834 ・・・・・{Anode regions of thyristors or gated bipolar-mode devices, e.g. supplementary regions surrounding anode regions}

H01L 29/0839 ・・・・・{Cathode regions of thyristors}

H01L 29/0843 ・・・・{Source or drain regions of field-effect devices}

H01L 29/0847 ・・・・・{of field-effect transistors with insulated gate (H01L 29/0653 takes precedence; with a passive supplementary region between source or drain and substrate related to punch-through, capacity or isolation phenomena H01L 29/1079; with LDD or DDD structure H01L 29/7833; for thin film transistors H01L 29/78618)}

H01L 29/0852 ・・・・・・{of DMOS transistors}{WARNING: This group and subgroups thereof are not complete, see provisionally also H01L 29/0847 and H01L 29/7801 and subgroups thereof}

H01L 29/0856 ・・・・・・・{Source regions}

H01L 29/086 ・・・・・・・・{Impurity concentration or distribution}

H01L 29/0865 ・・・・・・・・{Disposition}

H01L 29/0869 ・・・・・・・・{Shape (cell layout H01L 29/0696)}

H01L 29/0873 ・・・・・・・{Drain regions}

H01L 29/0878 ・・・・・・・・{Impurity concentration or distribution}

H01L 29/0882 ・・・・・・・・{Disposition}

H01L 29/0886 ・・・・・・・・{Shape}

H01L 29/0891 ・・・・・{of field-effect transistors with Schottky gate}

H01L 29/0895 ・・・・{Tunnel injectors}

H01L 29/10 ・・・with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes

H01L 29/1004 ・・・・{Base region of bipolar transistors}

H01L 29/1008 ・・・・・{of lateral transistors}

H01L 29/1012 ・・・・{Base regions of thyristors (H01L 29/083 takes precedence)}

H01L 29/1016 ・・・・・{Anode base regions of thyristors}

H01L 29/102 ・・・・・{Cathode base regions of thyristors}

H01L 29/1025 ・・・・{Channel region of field-effect devices}

H01L 29/1029 ・・・・・{of field-effect transistors}

H01L 29/1033 ・・・・・・{with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure (with channel and gate aligned in the lengthwise direction H01L 29/42376; with buried channel H01L 29/7838)}

H01L 29/1037 ・・・・・・・{and non-planar channel (resulting from the gate electrode disposition, e.g. within a trench, H01L 29/42356)}

H01L 29/1041 ・・・・・・・{with a non-uniform doping structure in the channel region surface}

H01L 29/1045 ・・・・・・・・{the doping structure being parallel to the channel length, e.g. DMOS like}

H01L 29/105 ・・・・・・・{with vertical doping variation (H01L 29/7827 takes precedence)}

H01L 29/1054 ・・・・・・・{with a variation of the composition, e.g. channel with strained layer for increasing the mobility}

H01L 29/1058 ・・・・・・{with PN junction gate}

H01L 29/1062 ・・・・・{of charge coupled devices}

H01L 29/1066 ・・・・{Gate region of field-effect devices with PN junction gate}

H01L 29/107 ・・・・{Substrate region of field-effect devices}

H01L 29/1075 ・・・・・{of field-effect transistors}

H01L 29/1079 ・・・・・・{with insulated gate}

H01L 29/1083 ・・・・・・・{with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current}

H01L 29/1087 ・・・・・・・{characterised by the contact structure of the substrate region, e.g. for controlling or preventing bipolar effect}

H01L 29/1091 ・・・・・{of charge coupled devices}

H01L 29/1095 ・・・・{Body region, i.e. base region, of DMOS transistors or IGBTs (cell layout H01L 29/0696)}

H01L 29/12 ・・characterised by the materials of which they are formed

H01L 29/122 ・・・{Single quantum well structures (single heterojunctions, couples of materials H01L 29/165, H01L 29/205, H01L 29/225, H01L 29/267)}

H01L 29/125 ・・・・{Quantum wire structures}

H01L 29/127 ・・・・{Quantum box structures}

H01L 29/15 ・・・Structures with periodic or quasi periodic potential variation, e.g. multiple quantum wells, superlattices (such structures applied for the control of light G02F 1/017, applied in semiconductor lasers H01S 5/34)

  NOTE - Group H01L 29/15 takes precedence over groups H01L 29/16 to H01L 29/26. H01L 29/151 ・・・・{Compositional structures (H01L 29/157 and H01L 29/158 take precedence)}

H01L 29/152 ・・・・・{with quantum effects only in vertical direction, i.e. layered structures with quantum effects solely resulting from vertical potential variation}

H01L 29/154 ・・・・・・{comprising at least one long range structurally disordered material, e.g. one-dimensional vertical amorphous superlattices}

H01L 29/155 ・・・・・・{Comprising only semiconductor materials (H01L 29/154 takes precedence)}

H01L 29/157 ・・・・{Doping structures, e.g. doping superlattices, nipi superlattices (delta doping in general H01L 29/365)}

H01L 29/158 ・・・・{Structures without potential periodicity in a direction perpendicular to a major surface of the substrate, i.e. vertical direction, e.g. lateral superlattices, lateral surface superlattices (LSS)}

H01L 29/16 ・・・including, apart from doping materials or other impurities, only elements of the fourth group of the Periodic System in uncombined form {(including SiC H01L 29/24)}

H01L 29/1602 ・・・・[N : Diamond]

H01L 29/1604 ・・・・{Amorphous materials}

H01L 29/1606 ・・・・{Graphene}

H01L 29/1608 ・・・・{Silicon carbide}

H01L 29/161 ・・・・including two or more of the elements provided for in group H01L 29/16, {e.g. alloys (H01L 29/1604 takes precedence)}

H01L 29/165 ・・・・・in different semiconductor regions, {e.g. heterojunctions}

H01L 29/167 ・・・・further characterised by the doping material {(H01L 29/1604 takes precedence)}

H01L 29/18 ・・・Selenium or tellurium only, apart from doping materials or other impurities

H01L 29/185 ・・・・{Amorphous materials}

H01L 29/20 ・・・including, apart from doping materials or other impurities, only AIIIBV compounds

H01L 29/2003 ・・・・{Nitride compounds}

H01L 29/2006 ・・・・{Amorphous materials}

H01L 29/201 ・・・・including two or more compounds, {e.g. alloys (H01L 29/2006 takes precedence)}

H01L 29/205 ・・・・・in different semiconductor regions, {e.g. heterojunctions}

H01L 29/207 ・・・・further characterised by the doping material {(H01L 29/2006 takes precedence)}

H01L 29/22 ・・・including, apart from doping materials or other impurities, only AIIBVI compounds

H01L 29/2203 ・・・・{Cd X compounds being one element of the 6th group of the Periodic System (H01L 29/2206 takes precedence)}

H01L 29/2206 ・・・・{Amorphous materials}

H01L 29/221 ・・・・including two or more compounds, {e.g. alloys (H01L 29/2206 takes precedence)}

H01L 29/225 ・・・・・in different semiconductor regions, {e.g. heterojunctions}

H01L 29/227 ・・・・further characterised by the doping material {(H01L 29/2206 takes precedence)}

H01L 29/24 ・・・including, apart from doping materials or other impurities, only semiconductor materials not provided for in groups H01L 29/16, H01L 29/18, H01L 29/20, H01L 29/22(including organic materials H01L 51/00)

H01L 29/242 ・・・・{AIBVI or AIBVII compounds, e.g. Cu2O, Cu I (H01L 29/247 takes precedence)}

H01L 29/245 ・・・・{Pb compounds, e.g. PbO (H01L 29/247 takes precedence)}

H01L 29/247 ・・・・{Amorphous materials}

H01L 29/26 ・・・including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L 29/16, H01L 29/18, H01L 29/20, H01L 29/22, H01L 29/24, {e.g. alloys}

H01L 29/263 ・・・・{Amorphous materials}

H01L 29/267 ・・・・in different semiconductor regions, {e.g. heterojunctions (H01L 29/263 takes precedence)}

H01L 29/30 ・・characterised by physical imperfections; having polished or roughened surface

H01L 29/32 ・・・the imperfections being within the semiconductor body

H01L 29/34 ・・・the imperfections being on the surface

H01L 29/36 ・・characterised by the concentration or distribution of impurities {in the bulk material (within semiconductor regions H01L 29/06)}

H01L 29/365 ・・・{Planar doping, e.g. atomic-plane doping, delta-doping}

H01L 29/40 ・Electrodes; {Multistep manufacturing processes therefor}

H01L 29/401 ・・{Multistep manufacturing processes}

  WARNING - H01L 21/28026 and subgroups

H01L 29/402 ・・{Field plates}

H01L 29/404 ・・・{Multiple field plate structures}

H01L 29/405 ・・・{Resistive arrangements, e.g. resistive or semi-insulating field plates}

H01L 29/407 ・・・{Recessed field plates, e.g. trench field plates, buried field plates}

H01L 29/408 ・・{with an insulating layer with a particular dielectric or electrostatic property, e.g. with static charges or for controlling trapped charges or moving ions, or with a plate acting on the insulator potential or the insulator charges, e.g. for controlling charges effect or potential distribution in the insulating layer, or with a semi-insulating layer contacting directly the semiconductor surface}

H01L 29/41 ・・characterised by their shape, relative sizes or dispositions

H01L 29/413 ・・・{Nanosized electrodes, e.g. nanowire electrodes comprising one or a plurality of nanowires (transparent electrodes comprising carbon nano-tubes H01L 51/444, nanotechnology per se B82B; nanosized carbon materials, e.g. carbon nanotubes, per se C01B 31/0206)}

H01L 29/417 ・・・carrying the current to be rectified, amplified or switched

H01L 29/41708 ・・・・{Emitter or collector electrodes for bipolar transistors}

H01L 29/41716 ・・・・{Cathode or anode electrodes for thyristors}

H01L 29/41725 ・・・・{Source or drain electrodes for field effect devices (with monocrystalline semiconductor on source/drain region H01L 29/0843)}

H01L 29/41733 ・・・・・{for thin film transistors with insulated gate}

H01L 29/41741 ・・・・・{for vertical or pseudo-vertical devices}

  NOTE - A pseudo-vertical device is a device with the drain and source electrodes on the same main surface and where the main current is vertical at least in a part of its path

H01L 29/4175 ・・・・・{for lateral devices where the connection to the source or drain region is done through at least one part of the semiconductor substrate thickness, e.g. with connecting sink or with via-hole}

  NOTE - The sink or via-hole leading to the source or drain region is considered to form part of the source or drain electrode

H01L 29/41758 ・・・・・{for lateral devices with structured layout for source or drain region, i.e. the source or drain region having cellular, interdigitated or ring structure or being curved or angular (H01L 29/41733 to H01L 29/4175 take precedence)}

  NOTE - Interdigitated structure means that at least one of the source or drain region has two or more fingers

H01L 29/41766 ・・・・・{with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor (H01L 29/41733 to H01L 29/41758 take precedence)}

H01L 29/41775 ・・・・・{characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode}

H01L 29/41783 ・・・・・・{Raised source or drain electrodes self aligned with the gate}

H01L 29/41791 ・・・・・{for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET}

H01L 29/423 ・・・not carrying the current to be rectified, amplified or switched

H01L 29/42304 ・・・・{Base electrodes for bipolar transistors}

H01L 29/42308 ・・・・{Gate electrodes for thyristors}

H01L 29/42312 ・・・・{Gate electrodes for field effect devices}

H01L 29/42316 ・・・・・{for field-effect transistors}

H01L 29/4232 ・・・・・・{with insulated gate}

H01L 29/42324 ・・・・・・・{Gate electrodes for transistors with a floating gate}

H01L 29/42328 ・・・・・・・・{with at least one additional gate other than the floating gate and the control gate, e.g. program gate, erase gate or select gate}

H01L 29/42332 ・・・・・・・・{with the floating gate formed by two or more non connected parts, e.g. multi-particles flating gate}

H01L 29/42336 ・・・・・・・・{with one gate at least partly formed in a trench}

H01L 29/4234 ・・・・・・・{Gate electrodes for transistors with charge trapping gate insulator}

H01L 29/42344 ・・・・・・・・{with at least one additional gate, e.g. program gate, erase gate or select gate}

H01L 29/42348 ・・・・・・・・{with trapping site formed by at least two separated sites, e.g. multi-particles trapping site}

H01L 29/42352 ・・・・・・・・{with the gate at least partly formed in a trench}

H01L 29/42356 ・・・・・・・{Disposition, e.g. buried gate electrode (H01L 29/42324 and H01L 29/4234 take precedence)}{WARNING: This group and subgroup thereof are not complete, see provisionally also H01L 29/78, H01L 29/7801 and subgroups thereof, H01L 29/7827 and H01L 29/7834}

H01L 29/4236 ・・・・・・・・{within a trench, e.g. trench gate electrode, groove gate electrode }

H01L 29/42364 ・・・・・・・[N: characterised by the insulating layer, e.g. thickness or uniformity (H01L 29/42324 and H01L 29/4234 take precedence)

H01L 29/42368 ・・・・・・・・{the thickness being non-uniform}

H01L 29/42372 ・・・・・・・{characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out (H01L 29/42324 takes precedence)}

H01L 29/42376 ・・・・・・・・{characterised by the length or the sectional shape}

H01L 29/4238 ・・・・・・・・{characterised by the surface lay-out}

H01L 29/42384 ・・・・・・・{for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor}

H01L 29/42392 ・・・・・・・・{fully surrounding the channel, e.g. gate-all-around}

H01L 29/42396 ・・・・・{for charge coupled devices}

H01L 29/43 ・・characterised by the materials of which they are formed

H01L 29/432 ・・・{Heterojunction gate for field effect devices}

H01L 29/435 ・・・{Resistive materials for field effect devices, e.g. resistive gate for MOSFET or MESFET}

H01L 29/437 ・・・{Superconductor materials}

H01L 29/45 ・・・Ohmic electrodes

H01L 29/452 ・・・・{on AIII-BV compounds}

H01L 29/454 ・・・・・{on thin film AIII-BV compounds}

H01L 29/456 ・・・・{on silicon}

H01L 29/458 ・・・・・{for thin film silicon, e.g. source or drain electrode}

H01L 29/47 ・・・Schottky barrier electrodes {(H01L 29/435 takes precedence)}

H01L 29/475 ・・・・{on AIII-BV compounds}

H01L 29/49 ・・・Metal-insulator-semiconductor electrodes, {e.g. gates of MOSFET (H01L 29/435 takes precedence)}

  NOTE - This group covers also devices using any other conductor material in place of metal

H01L 29/4908 ・・・・{for thin film semiconductor, e.g. gate of TFT}

H01L 29/4916 ・・・・{the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen (H01L 29/4908, H01L 29/4983 take precedence)}

H01L 29/4925 ・・・・・{with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement (with only a vertical doping structure or vertical doping variation H01L 29/4916)}

H01L 29/4933 ・・・・・・{with a silicide layer contacting the silicon layer, e.g. Polycide gate (with a barrier layer between the silicide and silicon layers H01L 29/4941)}

H01L 29/4941 ・・・・・・{with a barrier layer between the silicon and the metal or metal silicide upper layer, e.g. Silicide/TiN/Polysilicon}

H01L 29/495 ・・・・{the conductor material next to the insulator being a simple metal, e.g. W, Mo (H01L 29/4908, H01L 29/4983 take precedence)}

H01L 29/4958 ・・・・・{with a multiple layer structure}

H01L 29/4966 ・・・・{the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 (H01L 29/4908, H01L 29/4983 take precedence)}

H01L 29/4975 ・・・・・{being a silicide layer, e.g. TiSi2}

H01L 29/4983 ・・・・{with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material}

H01L 29/4991 ・・・・・{comprising an air gap}{Warning: Not complete, see provisionally also H01L 29/4983}

H01L 29/51 ・・・・Insulating materials associated therewith {(for MIS structures on thin film semiconductor H01L 29/4908)}

H01L 29/511 ・・・・・{with a compositional variation, e.g. multilayer structures (H01L 29/516 takes precedence)}

H01L 29/512 ・・・・・・{the variation being parallel to the channel plane}

H01L 29/513 ・・・・・・{the variation being perpendicular to the channel plane}

H01L 29/515 ・・・・・{with cavities, e.g. containing a gas}

H01L 29/516 ・・・・・{with at least one ferroelectric layer}

H01L 29/517 ・・・・・{the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate (H01L 29/518 takes precedence)}

H01L 29/518 ・・・・・{the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material}

H01L 29/66 ・Types of semiconductor device; {Multistep manufacturing processes therefor}

H01L 29/66007 ・・{Multistep manufacturing processes}

H01L 29/66015 ・・・{of devices having a semiconductor body comprising semiconducting carbon, e.g. diamond, diamond-like carbon, graphene}

H01L 29/66022 ・・・・{the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices}

H01L 29/6603 ・・・・・{Diodes}

H01L 29/66037 ・・・・{the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices}

H01L 29/66045 ・・・・・{Field-effect transistors}

H01L 29/66053 ・・・{of devices having a semiconductor body comprising crystalline silicon carbide}

H01L 29/6606 ・・・・{the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices}

H01L 29/66068 ・・・・{the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices}

H01L 29/66075 ・・・{of devices having semiconductor bodies comprising group 14 or group 13/15 materials (comprising semiconducting carbon H01L 29/66015; comprising crystalline silicon carbide H01L 29/66053)}

H01L 29/66083 ・・・・{the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices}

H01L 29/6609 ・・・・・{Diodes}

H01L 29/66098 ・・・・・・{Breakdown diodes}

H01L 29/66106 ・・・・・・・{Zener diodes}

H01L 29/66113 ・・・・・・・{Avalanche diodes}

H01L 29/66121 ・・・・・・{Multilayer diodes, e.g. PNPN diodes}

H01L 29/66128 ・・・・・・{Planar diodes}

H01L 29/66136 ・・・・・・{PN junction diodes}

H01L 29/66143 ・・・・・・{Schottky diodes}

H01L 29/66151 ・・・・・・{Tunnel diodes (group 13/15 resonant tunneling diodes H01L 29/66219)}

H01L 29/66159 ・・・・・・{Transit time diodes, e.g. IMPATT, TRAPATT diodes}

H01L 29/66166 ・・・・・{Resistors with PN junction}

H01L 29/66174 ・・・・・{Capacitors with PN or Schottky junction, e.g. varactors (capacitors with

  PN junction combined with MOS control H01L 29/66189)}

H01L 29/66181 ・・・・・{Conductor-insulator-semiconductor capacitors, e.g. trench capacitors}

H01L 29/66189 ・・・・・・{with PN junction, e.g. hybrid capacitors}

H01L 29/66196 ・・・・・{with an active layer made of a group 13/15 material}

H01L 29/66204 ・・・・・・{Diodes}

H01L 29/66212 ・・・・・・・{Schottky diodes}

H01L 29/66219 ・・・・・・・{with a heterojunction, e.g. resonant tunneling diodes [RTD}]

H01L 29/66227 ・・・・{the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices}

H01L 29/66234 ・・・・・{Bipolar junction transistors [BJT}]

H01L 29/66242 ・・・・・・{Heterojunction transistors [HBT}(with an active layer made of a group 13/15 material H01L 29/66318)]

H01L 29/6625 ・・・・・・{Lateral transistors (H01L 29/66242 and H01L 29/66265 take precedence)}

H01L 29/66257 ・・・・・・{Schottky transistors}

H01L 29/66265 ・・・・・・{Thin film bipolar transistors (H01L 29/66242 takes precedence)}

H01L 29/66272 ・・・・・・{Silicon vertical transistors (H01L 29/66242, H01L 29/66257 and H01L 29/66265 take precedence)}

H01L 29/6628 ・・・・・・・{Inverse transistors}

H01L 29/66287 ・・・・・・・{with a single crystalline emitter, collector or base including extrinsic, link or graft base formed on the silicon substrate, e.g. by epitaxy, recrystallisation, after insulating device isolation (H01L 29/6628 takes precedence)}

H01L 29/66295 ・・・・・・・{with main current going through the whole silicon substrate, e.g. power bipolar transistor}

H01L 29/66303 ・・・・・・・・{with multi-emitter, e.g. interdigitated, multi-cellular or distributed emitter}

H01L 29/6631 ・・・・・・{with an active layer made of a group 13/15 material}

H01L 29/66318 ・・・・・・・{Heterojunction transistors}

H01L 29/66325 ・・・・・・{controlled by field-effect, e.g. insulated gate bipolar transistors [IGBT}]

H01L 29/66333 ・・・・・・・{Vertical insulated gate bipolar transistors}

H01L 29/6634 ・・・・・・・・{with a recess formed by etching in the source/emitter contact region (H01L 29/66348 takes precedence; etching of semiconductor bodies H01L 21/302)}

H01L 29/66348 ・・・・・・・・{with a recessed gate}

H01L 29/66356 ・・・・・{Gated diodes, e.g. field controlled diodes [FCD}, static induction thyristors [SITh], field controlled thyristors [FCTh]]

H01L 29/66363 ・・・・・{Thyristors}

H01L 29/66371 ・・・・・・{structurally associated with another device, e.g. built-in diode (making integrated circuits H01L 21/82)}

H01L 29/66378 ・・・・・・・{the other device being a controlling field-effect device}

H01L 29/66386 ・・・・・・{Bidirectional thyristors}

H01L 29/66393 ・・・・・・{Lateral or planar thyristors}

H01L 29/66401 ・・・・・・{with an active layer made of a group 13/15 material}

H01L 29/66409 ・・・・・{Unipolar field-effect transistors}

H01L 29/66416 ・・・・・・{Static induction transistors [SIT}(with an active layer made of a group 13/15 material H01L 29/66454)]

H01L 29/66424 ・・・・・・・{Permeable base transistors [PBT}]

H01L 29/66431 ・・・・・・{with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT (with an active layer made of a group 13/15 material H01L 29/66462)}

H01L 29/66439 ・・・・・・{with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG}, single electron transistor [SET], striped channel transistor, Coulomb blockade transistor (with an active layer made of a group 13/15 material H01L 29/66469)]

H01L 29/66446 ・・・・・・{with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT}, group 13/15 negative resistance FET [NERFET]]

H01L 29/66454 ・・・・・・・{Static induction transistors [SIT}, e.g. permeable base transistors [PBT]]

H01L 29/66462 ・・・・・・・{with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT}

H01L 29/66469 ・・・・・・・{with one- or zero-dimensional channel, e.g. quantum wire field-effect transistors, in-plane gate transistors [IPG}, single electron transistors [SET], Coulomb blockade transistors, striped channel transistors]

H01L 29/66477 ・・・・・・{with an insulated gate, i.e. MISFET}

H01L 29/66484 ・・・・・・・{with multiple gate, at least one gate being an insulated gate (H01L 29/66742 takes precedence)}

H01L 29/66492 ・・・・・・・{with a pocket or a lightly doped drain selectively formed at the side of the gate}

H01L 29/665 ・・・・・・・{using self aligned silicidation, i.e. salicide (formation of conductive layers comprising silicides H01L 21/28518)}

H01L 29/66507 ・・・・・・・・{providing different silicide thicknesses on the gate and on source or drain}

H01L 29/66515 ・・・・・・・{using self aligned selective metal deposition simultaneously on the gate and on source or drain}

H01L 29/66522 ・・・・・・・{with an active layer made of a group 13/15 material (H01L 29/66446 takes precedence)}

H01L 29/6653 ・・・・・・・{using the removal of at least part of spacer, e.g. disposable spacer}

H01L 29/66537 ・・・・・・・{using a self aligned punch through stopper or threshold implant under the gate region (H01L 29/66606 takes precedence)}

H01L 29/66545 ・・・・・・・{using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate}

H01L 29/66553 ・・・・・・・{using inside spacers, permanent or not}

H01L 29/6656 ・・・・・・・{using multiple spacer layers, e.g. multiple sidewall spacers}

H01L 29/66568 ・・・・・・・{Lateral single gate silicon transistors}

H01L 29/66575 ・・・・・・・・{where the source and drain or source and drain extensions are self-aligned to the sides of the gate (H01L 29/66606 takes precedence)}

H01L 29/66583 ・・・・・・・・・{with initial gate mask or masking layer complementary to the prospective gate location, e.g. with dummy source and drain contacts}

H01L 29/6659 ・・・・・・・・・{with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD} MOSFET, double diffused drain [DDD] MOSFET]

H01L 29/66598 ・・・・・・・・・・{forming drain [D} and lightly doped drain [LDD] simultaneously, e.g. using implantation through the wings a T-shaped layer, or through a specially shaped layer]

H01L 29/66606 ・・・・・・・・{with final source and drain contacts formation strictly before final or dummy gate formation, e.g. contact first technology (H01L 29/66621 takes precedence)}

H01L 29/66613 ・・・・・・・・{with a gate recessing step, e.g. using local oxidation (making recessed gate LDMOS transistors H01L 29/66704)}

H01L 29/66621 ・・・・・・・・・{using etching to form a recess at the gate location (etching of semiconductor bodies H01L 21/302)}

H01L 29/66628 ・・・・・・・・・{recessing the gate by forming single crystalline semiconductor material at the source or drain location}

H01L 29/66636 ・・・・・・・・{with source or drain recessed by etching or first recessed by etching and then refilled}

H01L 29/66643 ・・・・・・・・{with source or drain regions formed by a Schottky barrier or a conductor-insulator-semiconductor structure}

H01L 29/66651 ・・・・・・・・{with a single crystalline channel formed on the silicon substrate after insulating device isolation}

H01L 29/66659 ・・・・・・・・{with asymmetry in the channel direction, e.g. lateral high-voltage MISFETs with drain offset region, extended drain MISFETs}

H01L 29/66666 ・・・・・・・{Vertical transistors (H01L 29/66712, H01L 29/66742 take precedence)}

H01L 29/66674 ・・・・・・・{DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region (making lateral high-voltage MISFETs with channel well and drain offset region H01L 29/66659)}

H01L 29/66681 ・・・・・・・・{Lateral DMOS transistors, i.e. LDMOS transistors}

  WARNING - H01L 29/66674

H01L 29/66689 ・・・・・・・・・{with a step of forming an insulating sidewall spacer (forming insulating material on a substrate H01L 21/02107)}

H01L 29/66696 ・・・・・・・・・{with a step of recessing the source electrode}

H01L 29/66704 ・・・・・・・・・{with a step of recessing the gate electrode, e.g. to form a trench gate electrode}

H01L 29/66712 ・・・・・・・・{Vertical DMOS transistors, i.e. VDMOS transistors}

H01L 29/66719 ・・・・・・・・・{With a step of forming an insulating sidewall spacer}

  WARNING - H01L 29/66712

H01L 29/66727 ・・・・・・・・・{with a step of recessing the source electrode}

H01L 29/66734 ・・・・・・・・・{with a step of recessing the gate electrode, e.g. to form a trench gate electrode}

H01L 29/66742 ・・・・・・・{Thin film unipolar transistors}

H01L 29/6675 ・・・・・・・・{Amorphous silicon or polysilicon transistors}

H01L 29/66757 ・・・・・・・・・{Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate}

H01L 29/66765 ・・・・・・・・・{Lateral single gate single channel transistors with inverted structure, i.e. the channel layer is formed after the gate}

H01L 29/66772 ・・・・・・・・{Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates (H01L 29/66666 takes precedence; thin film FinFETs H01L 29/66M6T6F16B)}

H01L 29/6678 ・・・・・・・・・{on sapphire substrates, e.g. SOS transistors}

H01L 29/66787 ・・・・・・・{with a gate at the side of the channel}

H01L 29/66795 ・・・・・・・・{with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET}

H01L 29/66803 ・・・・・・・・・{with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants}

H01L 29/6681 ・・・・・・・・・{using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability}

H01L 29/66818 ・・・・・・・・・{the channel being thinned after patterning, e.g. sacrificial oxidation on fin}

H01L 29/66825 ・・・・・・・{with a floating gate (H01L 29/6684 takes precedence)}

H01L 29/66833 ・・・・・・・{with a charge trapping gate insulator, e.g. MNOS transistors}

H01L 29/6684 ・・・・・・・{with a ferroelectric gate insulator}

H01L 29/66848 ・・・・・・{with a Schottky gate, i.e. MESFET}

H01L 29/66856 ・・・・・・・{with an active layer made of a group 13/15 material (H01L 29/66446 takes precedence)}

H01L 29/66863 ・・・・・・・・{Lateral single gate transistors}

H01L 29/66871 ・・・・・・・・・{Processes wherein the final gate is made after the formation of the source and drain regions in the active layer, e.g. dummy-gate processes}

H01L 29/66878 ・・・・・・・・・{Processes wherein the final gate is made before the formation, e.g. activation anneal, of the source and drain regions in the active layer}

H01L 29/66886 ・・・・・・・・・{Lateral transistors with two or more independent gates}

H01L 29/66893 ・・・・・・{with a PN junction gate, i.e. JFET}

H01L 29/66901 ・・・・・・・{with a PN homojunction gate}

H01L 29/66909 ・・・・・・・・{Vertical transistors, e.g. tecnetrons}

H01L 29/66916 ・・・・・・・{with a PN heterojunction gate}

H01L 29/66924 ・・・・・・・{with an active layer made of a group 13/15 material (H01L 29/66446 takes precedence)}

H01L 29/66931 ・・・・・{BJT-like unipolar transistors, e.g. hot electron transistors [HET}, metal base transistors [MBT], resonant tunneling transistor [RTT], bulk barrier transistor [BBT], planar doped barrier transistor [PDBT], charge injection transistor [CHINT]]

H01L 29/66939 ・・・・・・{with an active layer made of a group 13/15 material}

H01L 29/66946 ・・・・・{Charge transfer devices}

H01L 29/66954 ・・・・・・{with an insulated gate}

H01L 29/66962 ・・・・・・{with a Schottky gate}

H01L 29/66969 ・・・{of devices having semiconductor bodies not comprising group 14 or group 13/15 materials (comprising selenium or tellurium in uncombined form other than as impurities in semiconductor bodies of other materials, comprising cuprous oxide or cuprous iodide H01L 21/02365)}

H01L 29/66977 ・・{Quantum effect devices, e.g. using quantum reflection, diffraction or interference effects, i.e. Bragg- or Aharonov-Bohm effects}

H01L 29/66984 ・・{Devices using spin polarized carriers}

H01L 29/66992 ・・{controllable only by the variation of applied heat (controllable by IR radiation H01L 31/00; measuring quantity of heat G01K 17/00)}

H01L 29/68 ・・controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched

H01L 29/685 ・・・{Hi-Lo semiconductor devices, e.g. memory devices}

H01L 29/70 ・・・Bipolar devices

H01L 29/705 ・・・・{Double base diodes}

H01L 29/72 ・・・・Transistor-type devices, i.e. able to continuously respond to applied control signals

H01L 29/73 ・・・・・Bipolar junction transistors

H01L 29/7302 ・・・・・・{structurally associated with other devices (assemblies of devices H01L 25/00; integrated circuits H01L 27/00; IGBT H01L 29/7393)}

H01L 29/7304 ・・・・・・・{the device being a resistive element, e.g. ballasting resistor (transistors integrated with resistors H01L 27/075)}

H01L 29/7306 ・・・・・・{Point contact transistors}

H01L 29/7308 ・・・・・・{Schottky transistors}

H01L 29/7311 ・・・・・・{Tunnel transistors}

H01L 29/7313 ・・・・・・{Avalanche transistors}

H01L 29/7315 ・・・・・・{Transistors with hook collector}

H01L 29/7317 ・・・・・・{Bipolar thin film transistors}

H01L 29/732 ・・・・・・Vertical transistors

H01L 29/7322 ・・・・・・・{having emitter-base and base-collector junctions leaving at the same surface of the body, e.g. planar transistor}

H01L 29/7325 ・・・・・・・{having an emitter-base junction leaving at a main surface and a base-collector junction leaving at a peripheral surface of the body, e.g. mesa planar transistor}

H01L 29/7327 ・・・・・・・{Inverse vertical transistors}

H01L 29/735 ・・・・・・Lateral transistors

H01L 29/737 ・・・・・・Hetero-junction transistors

H01L 29/7371 ・・・・・・・{Vertical transistors}

H01L 29/7373 ・・・・・・・・{having a two-dimensional base, e.g. modulation-doped base, inversion layer base, delta-doped base}

H01L 29/7375 ・・・・・・・・{having an emitter comprising one or more non-monocrystalline elements of group IV, e.g. amorphous silicon, alloys comprising group IV elements}

H01L 29/7376 ・・・・・・・・{Resonant tunnelling transistors}

H01L 29/7378 ・・・・・・・・{comprising lattice mismatched active layers, e.g. SiGe strained layer transistors}

H01L 29/739 ・・・・・controlled by field-effect, {e.g. bipolar static induction transistors (BSIT) (unijunction transistors H01L 29/705)}

H01L 29/7391 ・・・・・・{Gated diode structures}

H01L 29/7392 ・・・・・・・{with PN junction gate, e.g. field controlled thyristors (FCTh), static induction thyristors (SITh)}

H01L 29/7393 ・・・・・・{Insulated gate bipolar mode transistors, i.e. IGBT; IGT; COMFET}

H01L 29/7394 ・・・・・・・{on an insulating layer or substrate, e.g. thin film device or device isolated from the bulk substrate (H01L 29/7398 takes precedence)}

H01L 29/7395 ・・・・・・・{Vertical transistors, e.g. vertical IGBT}

  NOTE - The transistor is called vertical if the emitter and the collector are not on the same main surface or, if they are on the same main surface, at least a part of the main current has a component substantially not parallel to the main surface

H01L 29/7396 ・・・・・・・・{with a non planar surface, e.g. with a non planar gate or with a trench or recess or pillar in the surface of the emitter, base or collector region for improving current density or short circuiting the emitter and base regions (H01L 29/7398 takes precedence)}

H01L 29/7397 ・・・・・・・・・{and a gate structure lying on a slanted or vertical surface or formed in a groove, e.g. trench gate IGBT}

H01L 29/7398 ・・・・・・・・{with both emitter and collector contacts in the same substrate side}

H01L 29/74 ・・・・Thyristor-type devices, e.g. having four-zone regenerative action{(two-terminal thyristorsH01L 29/87)}

H01L 29/7404 ・・・・・{structurally associated with at least one other device (assemblies H01L 25/00; integrated circuits H01L 27/00)}

H01L 29/7408 ・・・・・・{the device being a capacitor or a resistor}

H01L 29/7412 ・・・・・・{the device being a diode}

H01L 29/7416 ・・・・・・・{the device being an antiparallel diode, e.g. RCT (shorted anode structures enabling reverse conduction H01L 29/0834)}

H01L 29/742 ・・・・・・{the device being a field effect transistor (for turn-on or turn-off by field effect H01L 29/745, H01L 29/749)}

H01L 29/7424 ・・・・・{having a built-in localised breakdown/breakover region, e.g. self-protected against destructive spontaneous, e.g. voltage breakover, firing}

H01L 29/7428 ・・・・・{having an amplifying gate structure, e.g. cascade (Darlington) configuration}

H01L 29/7432 ・・・・・{Asymmetrical thyristors (with a particular shorted anode structure H01L 29/0834)}

H01L 29/7436 ・・・・・{Lateral thyristors}

H01L 29/744 ・・・・・Gate-turn-off devices

H01L 29/745 ・・・・・・with turn-off by field effect

H01L 29/7455 ・・・・・・・{produced by an insulated gate structure}

H01L 29/747 ・・・・・Bidirectional devices, e.g. triacs

H01L 29/749 ・・・・・with turn-on by field effect

H01L 29/76 ・・・Unipolar devices, {e.g. field effect transistors}

H01L 29/7606 ・・・・{Transistor-like structures, e.g. hot electron transistor (HET); metal base transistor (MBT)}

H01L 29/7613 ・・・・{Single electron transistors; Coulomb blockade devices (H01L 29/7888 takes precedence)}

H01L 29/762 ・・・・Charge transfer devices

H01L 29/765 ・・・・・Charge-coupled devices {(peripheral circuits for CCD storage devices G11C 19/285)}

H01L 29/768 ・・・・・・with field effect produced by an insulated gate

H01L 29/76808 ・・・・・・・{Input structures}

H01L 29/76816 ・・・・・・・{Output structures}

H01L 29/76825 ・・・・・・・{Structures for regeneration, refreshing, leakage compensation or the like}

H01L 29/76833 ・・・・・・・{Buried channel CCD}

H01L 29/76841 ・・・・・・・・{Two-Phase CCD}

H01L 29/7685 ・・・・・・・・{Three-Phase CCD}

H01L 29/76858 ・・・・・・・・{Four-Phase CCD}

H01L 29/76866 ・・・・・・・{Surface Channel CCD}

H01L 29/76875 ・・・・・・・・{Two-Phase CCD}

H01L 29/76883 ・・・・・・・・{Three-Phase CCD}

H01L 29/76891 ・・・・・・・・{Four-Phase CCD}

H01L 29/772 ・・・・Field effect transistors

H01L 29/7722 ・・・・・{using static field induced regions, e.g. SIT, PBT}

H01L 29/7725 ・・・・・{with delta-doped channel (H01L 29/778 takes precedence)}

H01L 29/7727 ・・・・・{Velocity modulation transistors, i.e. VMT}

H01L 29/775 ・・・・・with one dimensional charge carrier gas channel, e.g. quantum wire FET

H01L 29/778 ・・・・・with two-dimensional charge carrier gas channel, e.g. HEMT; {with two-dimensional charge-carrier layer formed at a heterojunction interface (H01L 29/804B4 takes precedence)}

H01L 29/7781 ・・・・・・{with inverted single heterostructure, i.e. with active layer formed on top of wide bandgap layer, e.g. IHEMT}

H01L 29/7782 ・・・・・・{with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET}

H01L 29/7783 ・・・・・・・{using III-V semiconductor material}

H01L 29/7784 ・・・・・・・・{with delta or planar doped donor layer (H01L 29/7785 takes precedence)}

H01L 29/7785 ・・・・・・・・{with more than one donor layer}

H01L 29/7786 ・・・・・・{with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT}

H01L 29/7787 ・・・・・・・{with wide bandgap charge-carrier supplying layer, e.g. direct single heterostructure MODFET}

H01L 29/7788 ・・・・・・{Vertical transistors}

H01L 29/7789 ・・・・・・{the two-dimensional charge carrier gas being at least partially not parallel to a main surface of the semiconductor body}

H01L 29/78 ・・・・・with field effect produced by an insulated gate {(H01L 29/7725, H01L 29/775, H01L 29/778 take precedence)}

H01L 29/7801 ・・・・・・{DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region (lateral high-voltage MISFETs with channel well and drain offset region H01L 29/7835)}

H01L 29/7802 ・・・・・・・{Vertical DMOS transistors, i.e. VDMOS transistors}

H01L 29/7803 ・・・・・・・・{structurally associated with at least one other device (assemblies H01L 25/00; integrated circuits H01L 27/00)}{WARNING: This group and subgroups thereof are not complete, see provisionally also H01L 29/7802}

H01L 29/7804 ・・・・・・・・・{the other device being a pn-junction diode}

H01L 29/7805 ・・・・・・・・・・{in antiparallel, e.g. freewheel diode}

H01L 29/7806 ・・・・・・・・・{the other device being a Schottky barrier diode}

H01L 29/7808 ・・・・・・・・・{the other device being a breakdown diode, e.g. Zener diode}

H01L 29/7809 ・・・・・・・・{having both source and drain contacts on the same surface, i.e. Up-Drain VDMOS transistors}

H01L 29/781 ・・・・・・・・{Inverted VDMOS transistors, i.e. Source-Down VDMOS transistors}

H01L 29/7811 ・・・・・・・・{with an edge termination structure (guard regions per se H01L 29/0619; field plates per se H01L 29/402)}{WARNING: This group is not complete, see provionally also H01L 29/7802, H01L 29/7809 and H01L 29/7813}

H01L 29/7812 ・・・・・・・・{with a substrate comprising an insulating layer, e.g. SOI-VDMOS transistors}

H01L 29/7813 ・・・・・・・・{with trench gate electrode, e.g. UMOS transistors (trench gate electrodes per se H01L 29/4236)}

H01L 29/7815 ・・・・・・・・{with voltage or current sensing structure, e.g. emulator section, overcurrent sensing cell}{WARNING: This group is not complete, see provisionally also H01L 29/7802, H01L 29/7809 and H01L 29/7813}

H01L 29/7816 ・・・・・・・{Lateral DMOS transistors, i.e. LDMOS transistors}{WARNING: This group and subgroups thereof are not complete, see provisionally also H01L 29/7801}

H01L 29/7817 ・・・・・・・・{structurally associated with at least one other device (assemblies H01L 25/00; integrated circuits H01L 27/00)}

H01L 29/7818 ・・・・・・・・・{the other device being a pn-junction diode}

H01L 29/7819 ・・・・・・・・・・{in antiparallel, e.g. freewheel diode}

H01L 29/782 ・・・・・・・・・{the other device being a Schottky barrier diode}

H01L 29/7821 ・・・・・・・・・{the other device being a breakdown diode, e.g. Zener diode}

H01L 29/7823 ・・・・・・・・{with an edge termination structure (guard regions per se H01L 29/0619; field plates per se H01L 29/402)}

H01L 29/7824 ・・・・・・・・{with a substrate comprising an insulating layer, e.g. SOI-LDMOS transistors}

H01L 29/7825 ・・・・・・・・{with trench gate electrode (trench gate electrodes per se H01L 29/4236)}

H01L 29/7826 ・・・・・・・・{with voltage or current sensing structure, e.g. emulator section, overcurrent sensing cell}

H01L 29/7827 ・・・・・・{Vertical transistors (H01L 29/7802, H01L 29/78642 take precedence)}

H01L 29/7828 ・・・・・・・{without inversion channel, e.g. vertical ACCUFETs, normally-on vertical MISFETs}{WARNING: This group is not complete, see provisionally also H01L 29/7827}

H01L 29/783 ・・・・・・{comprising a gate to body connection, i.e. bulk dynamic threshold voltage MOSFET (for thin film transistors H01L 29/78612, H01L 29/786H)}

H01L 29/7831 ・・・・・・{with multiple gate structure (FinFETs or MuGFETs H01L 29/7855, thin film transistors H01L 29/78645)}

H01L 29/7832 ・・・・・・・{the structure comprising a MOS gate and at least one non-MOS gate, e.g. JFET or MESFET gate}

H01L 29/7833 ・・・・・・{with lightly doped drain or source extension, e.g. LDD MOSFET`s; DDD MOSFET`s (for thin film transistors H01L 29/78618)}

H01L 29/7834 ・・・・・・・{with a non-planar structure, e.g. the gate or the source or the drain being non-planar}

  NOTE - Field oxide sunken in the substrate and not filling a groove is not an element characterising a non-planar structure

H01L 29/7835 ・・・・・・・{with asymmetrical source and drain regions, e.g. lateral high-voltage MISFETs with drain offset region, extended drain MISFETs}

H01L 29/7836 ・・・・・・・{with a significant overlap between the lightly doped extension and the gate electrode (H01L 29/7834, H01L 29/7835 take precedence)}

H01L 29/7838 ・・・・・・{without inversion channel, e.g. buried channel latral MISFETs, normally-on lateral MISFETs, depletion-mode lateral MISFETs}

H01L 29/7839 ・・・・・・{with Schottky drain or source contact}

H01L 29/784 ・・・・・・{the gate comprising a layer which is used for its ferroelectric properties}

H01L 29/7841 ・・・・・・{with floating body, e.g. programmable transistors}

H01L 29/7842 ・・・・・・{means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate (variation of the composition of the channel H01L 29/1054)}[Warning: The groups H01L 29/7843 to H01L 29/7849 are not complete, see provisionally also H01L 29/7842]

H01L 29/7843 ・・・・・・・{the means being an applied insulating layer}

H01L 29/7845 ・・・・・・・{the means being a conductive material, e.g. silicided S/D or Gate}

H01L 29/7846 ・・・・・・・{the means being located in the lateral device isolation region, e.g. STI}

H01L 29/7847 ・・・・・・・{using a memorization technique, e.g. re-crystallization under strain, bonding on a substrate having a thermal expansion coefficient different from the one of the region}

H01L 29/7848 ・・・・・・・{the means being located in the source/drain region, e.g. SiGe source and drain}

H01L 29/7849 ・・・・・・・{the means being provided under the channel}

H01L 29/785 ・・・・・・{having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET}

H01L 29/7851 ・・・・・・・{with the body tied to the substrate}

H01L 29/7853 ・・・・・・・{the body having a non-rectangular crossection}

H01L 29/7854 ・・・・・・・・{with rounded corners}

H01L 29/7855 ・・・・・・・{with at least two independent gates}

H01L 29/7856 ・・・・・・・{with an non-uniform gate, e.g. varying doping structure, shape or composition on different sides of the fin, or different gate insulator thickness or composition on opposing fin sides (H01L 29/7855 takes precedence)}

H01L 29/786 ・・・・・・Thin film transistors, {i.e. transistors with a channel being at least partly a thin film (transistors having only the source or the drain region on an insulator layer H01L 29/0653; thin film FinFETs H01L 29/785)}

H01L 29/78603 ・・・・・・・{characterised by the insulating substrate or support (H01L 29/78657 takes precedence)}

H01L 29/78606 ・・・・・・・{with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device (H01L 29/78642, H01L 29/78645 take precedence)}

H01L 29/78609 ・・・・・・・・{for preventing leakage current (H01L 29/78618 takes precedence)}

H01L 29/78612 ・・・・・・・・{for preventing the kink- or the snapback effect, e.g. discharging the minority carriers of the channel region for preventing bipolar effect}

H01L 29/78615 ・・・・・・・・・{with a body contact}

H01L 29/78618 ・・・・・・・・{characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure (silicide contacts, electrodes in general H01L 29/458)}

H01L 29/78621 ・・・・・・・・・{with LDD structure or an extension or an offset region or characterised by the doping profile}

H01L 29/78624 ・・・・・・・・・・{the source and the drain regions being asymmetrical}

H01L 29/78627 ・・・・・・・・・・{with a significant overlap between the lightly doped drain and the gate electrode, e.g. GOLDD}

H01L 29/78633 ・・・・・・・・{with a light shield}

H01L 29/78636 ・・・・・・・・{with supplementary region or layer for improving the flatness of the device}

H01L 29/78639 ・・・・・・・・{with a drain or source connected to a bulk conducting substrate}

H01L 29/78642 ・・・・・・・{Vertical transistors}

H01L 29/78645 ・・・・・・・{with multiple gate}

  NOTE - In groups H01L 29/78651 to H01L 29/786H, the materials specified for the transistors are the material of the channel region

H01L 29/78648 ・・・・・・・・{arranged on opposing sides of the channel}

H01L 29/78651 ・・・・・・・{Silicon transistors (H01L 29/78606 to H01L 29/78645 take precedence)}

H01L 29/78654 ・・・・・・・・{Monocrystalline silicon transistors}

H01L 29/78657 ・・・・・・・・・{SOS transistors}

H01L 29/7866 ・・・・・・・・{Non-monocrystalline silicon transistors}

H01L 29/78663 ・・・・・・・・・{Amorphous silicon transistors}

H01L 29/78666 ・・・・・・・・・・{with normal-type structure, e.g. with top gate}

H01L 29/78669 ・・・・・・・・・・{with inverted-type structure, e.g. with bottom gate}

H01L 29/78672 ・・・・・・・・・{Polycrystalline or microcrystalline silicon transistor}

H01L 29/78675 ・・・・・・・・・・{with normal-type structure, e.g. with top gate}

H01L 29/78678 ・・・・・・・・・・{with inverted-type structure, e.g. with bottom gate}

H01L 29/78681 ・・・・・・・{having a semiconductor body comprising Alll-BV or All-BVI or AIV-BVI semiconductor materials, or Se or Te}

H01L 29/78684 ・・・・・・・{having a semiconductor body comprising semiconductor materials of the fourth group not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys (H01L 29/7869 takes precedence)}

H01L 29/78687 ・・・・・・・・{with a multilayer structure or superlattice structure}

H01L 29/7869 ・・・・・・・{having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate}

H01L 29/78693 ・・・・・・・・{the semiconducting oxide being amorphous}

H01L 29/78696 ・・・・・・・{characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel (H01L 29/78612 takes precedence; transistors having a drain offset region or a lightly doped drain (LDD) H01L 29/78621)}

H01L 29/788 ・・・・・・with floating gate {(H01L 29/784 takes precedence)}

H01L 29/7881 ・・・・・・・{Programmable transistors with only two possible levels of programmation (H01L 29/7888 takes precedence)}

H01L 29/7882 ・・・・・・・・{charging by injection of carriers through a conductive insulator, e.g. Poole-Frankel conduction}

H01L 29/7883 ・・・・・・・・{charging by tunnelling of carriers, e.g. Fowler-Nordheim tunnelling}

H01L 29/7884 ・・・・・・・・{charging by hot carrier injection}

H01L 29/7885 ・・・・・・・・・{Hot carrier injection from the channel}

H01L 29/7886 ・・・・・・・・・{Hot carrier produced by avalanche breakdown of a PN junction, e.g. FAMOS}

H01L 29/7887 ・・・・・・・{Programmable transistors with more than two possible different levels of programmation}

H01L 29/7888 ・・・・・・・{Transistors programmable by two single electrons}

H01L 29/7889 ・・・・・・・[N : Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane]

H01L 29/792 ・・・・・・with charge trapping gate insulator, e.g. MNOS-memory transistors

H01L 29/7923 ・・・・・・・{Programmable transistors with more than two possible different levels of programmation}

H01L 29/7926 ・・・・・・・{Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane}

H01L 29/80 ・・・・・with field effect produced by a PN or other rectifying junction gate, {i.e. potential-jump barrier}

H01L 29/802 ・・・・・・{with heterojunction gate, e.g. transistors with semiconductor layer acting as gate insulating layer, MIS-like transistors (H01L 29/806 takes precedence; with one dimensional electron gas H01L 29/775; with dimensional electron gas H01L 29/778)}

H01L 29/804 ・・・・・・・{Programmable transistors, e.g. with charge-trapping quantum well}

H01L 29/806 ・・・・・・{with Schottky drain or source contact}

H01L 29/808 ・・・・・・with a PN junction gate, {e.g. PN homojunction gate (H01L 29/7725, H01L 29/775, H01L 29/778, H01L 29/806 take precedence)}

H01L 29/8083 ・・・・・・・{Vertical transistors (SIT H01L 29/7722)}

H01L 29/8086 ・・・・・・・{Thin film JFET`s}

H01L 29/812 ・・・・・・with a Schottky gate {(H01L 29/7725, H01L 29/775, H01L 29/778, H01L 29/806 take precedence; with Schottky contact on top of heterojunction gate H01L 29/802)}

H01L 29/8122 ・・・・・・・{Vertical transistors (SIT, PBT H01L 29/7722)}

H01L 29/8124 ・・・・・・・{with multiple gate}

H01L 29/8126 ・・・・・・・{Thin film MESFET`s}

H01L 29/8128 ・・・・・・・{with recessed gate}

H01L 29/82 ・・controllable by variation of the magnetic field applied to the device

H01L 29/84 ・・controllable by variation of applied mechanical force, e.g. of pressure

H01L 29/86 ・・controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched

H01L 29/8605 ・・・Resistors with PN junctions

H01L 29/861 ・・・Diodes

H01L 29/8611 ・・・・{Planar PN junction diodes}

H01L 29/8613 ・・・・{Mesa PN junction diodes}

H01L 29/8615 ・・・・{Hi-lo semiconductor devices, e.g. memory devices}

H01L 29/8616 ・・・・{Charge trapping diodes}

H01L 29/8618 ・・・・{Diodes with bulk potential barrier, e.g. Camel diodes, Planar Doped Barrier diodes, Graded bandgap diodes}

H01L 29/862 ・・・・Point contact diodes

H01L 29/864 ・・・・Transit-time diodes, e.g. IMPATT, TRAPATT diodes

H01L 29/866 ・・・・Zener diodes

H01L 29/868 ・・・・PIN diodes

H01L 29/87 ・・・・Thyristor diodes, e.g. Shockley diodes, break-over diodes

H01L 29/872 ・・・・Schottky diodes

H01L 29/8725 ・・・・・{of the trench MOS barrier type [TMBS}]

H01L 29/88 ・・・・Tunnel-effect diodes

H01L 29/882 ・・・・・{Resonant tunneling diodes, i.e. RTD, RTBD}

H01L 29/885 ・・・・・Esaki diodes

H01L 29/92 ・・・Capacitors with potential-jump barrier or surface barrier

H01L 29/93 ・・・・Variable capacitance diodes, e.g. varactors

H01L 29/94 ・・・・Metal-insulator-semiconductors, e.g. MOS

H01L 29/945 ・・・・・{Trench capacitors}

H01L 31/00 Semiconductor devices sensitive to infra-red radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus peculiar to the manufacture or treatment thereof or of parts thereof; Details thereof (H01L 51/42 takes precedence; devices consisting of a plurality of solid state components formed in, or on, a common substrate, other than combinations of radiation-sensitive components with one or more electric light sources, H01L 27/00; production of heat using solar heat F24J 2/00; measurement of X-radiation, gamma radiation, corpuscular radiation or cosmic radiation with semiconductor detectors G01T 1/24, with resistance detectors G01T 1/26; measurement of neutron radiation with semiconductor detectors G01T 3/08; couplings of light guides with optoelectronic elements G02B 6/42; obtaining energy from radioactive sources G21H)

H01L 31/02 ・Details

H01L 31/02002 ・・{Arrangements for conducting electric current to or from the device in operations}

H01L 31/02005 ・・・{for device characterised by at least one potential jump barrier or surface barrier}

H01L 31/02008 ・・・・{for solar cells or solar cell modules}

H01L 31/0201 ・・・・・{comprising specially adapted module bus-bar structures}

H01L 31/02013 ・・・・・{comprising output lead wires elements}

H01L 31/02016 ・・{Circuit arrangements of general character for the devices}

H01L 31/02019 ・・・{for devices characterised by at least one potential jump barrier or surface barrier}

H01L 31/02021 ・・・・{for solar cells}

H01L 31/02024 ・・・・{Position sensitive and lateral effect photodetectors; Quadrant photodiodes}

H01L 31/02027 ・・・・{for devices working in avalanche mode}

H01L 31/0203 ・・Containers; Encapsulations, {e.g. encapsulation of photodiodes (encapsulation or housing for solar cells H01L 31/048)}

H01L 31/0216 ・・Coatings

H01L 31/02161 ・・・{for devices characterised by at least one potential jump barrier or surface barrier}

H01L 31/02162 ・・・・{for filtering or shielding light, e.g. multicolour filters for photodetectors}

H01L 31/02164 ・・・・・{for shielding light, e.g. light blocking layers, cold shields for infra-red detectors}

H01L 31/02165 ・・・・・{using interference filters, e.g. multilayer dielectric filters (interference filters G02B 5/28)}

H01L 31/02167 ・・・・{for solar cells}

H01L 31/02168 ・・・・・{the coatings being antireflective or having enhancing optical properties for the solar cells}

H01L 31/0224 ・・Electrodes

H01L 31/022408 ・・・{for devices characterised by at least one potential jump barrier or surface barrier}

H01L 31/022416 ・・・・{comprising ring electrodes}

H01L 31/022425 ・・・・{for solar cells}

H01L 31/022433 ・・・・・{Particular geometry of the grid contacts}

H01L 31/022441 ・・・・・{Electrode arrangements specially adapted for back-contact solar cells}

H01L 31/02245 ・・・・・・{for metallisation wrap-through [MWT} type solar cells]

H01L 31/022458 ・・・・・・{for emitter wrap-through [EWT} type solar cells, e.g. interdigitated emitter-base back-contacts]

H01L 31/022466 ・・・{made of transparent conductive layers, e.g. TCO, ITO layers}

H01L 31/022475 ・・・・{composed of indium tin oxide [ITO}]

H01L 31/022483 ・・・・{composed of zinc oxide [ZnO}]

H01L 31/022491 ・・・・{composed of a thin transparent metal layer, e.g. gold}

H01L 31/0232 ・・Optical elements or arrangements associated with the device {(optical elements or arrangements for solar cells H01L 31/0522)}

H01L 31/02322 ・・・{comprising luminescent members, e.g. fluorescent sheets upon the device}

H01L 31/02325 ・・・{the optical elements not being integrated nor being directly associated with the device}

H01L 31/02327 ・・・{the optical elements being integrated or being directly associated to the device, e.g. back reflectors (optical coatings H01L 31/0216)}

H01L 31/0236 ・・Special surface textures

H01L 31/02363 ・・・{of the semiconductor body itself, e.g. textured active layers}

H01L 31/02366 ・・・{of the substrate or of a layer on the substrate, e.g. textured ITO/glass substrate or superstrate, textured polymer layer on glass substrate}

H01L 31/024 ・・Arrangements for cooling, heating, ventilating or temperature compensation {(cooling arrangements for solar cells H01L 31/052)}

H01L 31/0248 ・characterised by their semiconductor bodies

H01L 31/0256 ・・characterised by the material

H01L 31/0264 ・・・Inorganic materials

H01L 31/0272 ・・・・Selenium or tellurium

H01L 31/02725 ・・・・・{characterised by the doping material}

H01L 31/028 ・・・・including, apart from doping material or other impurities, only elements of the fourth group of the Periodic System

H01L 31/0284 ・・・・・{comprising porous silicon as part of the active layer(s) (porous silicon as antireflective layer for photodiodes H01L 31/0216; for solar cells H01L 31/02168)}

H01L 31/0288 ・・・・・characterised by the doping material

H01L 31/0296 ・・・・including, apart from doping material or other impurities, only AIIBVI compounds, e.g. CdS, ZnS, HgCdTe

H01L 31/02963 ・・・・・{characterised by the doping material}

H01L 31/02966 ・・・・・{including ternary compounds, e.g. HgCdTe}

H01L 31/0304 ・・・・including, apart from doping materials or other impurities, only AIIIBV compounds

H01L 31/03042 ・・・・・{characterised by the doping material}

H01L 31/03044 ・・・・・{comprising a nitride compounds, e.g. GaN}

H01L 31/03046 ・・・・・{including ternary or quaternary compounds, e.g. GaAlAs, InGaAs,InGaAsP}

H01L 31/03048 ・・・・・・{comprising a nitride compounds, e.g. InGaN}

H01L 31/0312 ・・・・including, apart from doping materials or other impurities, only AIVBIV compounds, e.g. SiC

H01L 31/03125 ・・・・・{characterised by the doping material}

H01L 31/032 ・・・・including, apart from doping materials or other impurities, only compounds not provided for in groups H01L 31/0272 to H01L 31/0312

H01L 31/0321 ・・・・・{characterised by the doping material (H01L 31/0323, H01L 31/0325 take precedence)}

H01L 31/0322 ・・・・・{comprising only AIBIIICVI chalcopyrite compounds, e.g. Cu In Se2, Cu Ga Se2, Cu In Ga Se2}

H01L 31/0323 ・・・・・・{characterised by the doping material}

H01L 31/0324 ・・・・・{comprising only AIVBVI or AIIBIVCVI chalcogenide compounds, e.g. Pb Sn Te}

H01L 31/0325 ・・・・・・{characterised by the doping material}

H01L 31/0326 ・・・・・{comprising AIBIICIVDVI kesterite compounds, e.g. Cu2ZnSnSe4, Cu2ZnSnS4}

H01L 31/0327 ・・・・・・{characterised by the doping material}

H01L 31/0328 ・・・・including, apart from doping materials or other impurities, semiconductor materials provided for in two or more of groups H01L 31/0272 to H01L 31/032

H01L 31/0336 ・・・・・in different semiconductor regions, e.g. Cu2X / CdX hetero-junctions, X being an element of the sixth group of the Periodic System

H01L 31/03365 ・・・・・・{comprising only Cu2X / CdX heterojunctions, X being an element of the sixth group of the Periodic System}

H01L 31/0352 ・・characterised by their shape or by the shapes, relative sizes or disposition of the semiconductor regions

H01L 31/035209 ・・・{comprising a quantum structures}

H01L 31/035218 ・・・・{the quantum structure being quantum dots}

H01L 31/035227 ・・・・{the quantum structure being quantum wires, or nano-rods (carbon nano-tubes H01L 51/0048)}

H01L 31/035236 ・・・{Superlattices; Multiple quantum well structures}

H01L 31/035245 ・・・・{characterised by amorphous semiconductor layers}

H01L 31/035254 ・・・・{including, apart from doping materials or other impurities, only elements of the fourth group of the Periodic System, e.g. Si-SiGe superlattices}

H01L 31/035263 ・・・・{Doping superlattices, e.g. nipi superlattices}

H01L 31/035272 ・・・{characterised by at least one potential jump barrier or surface barrier}

H01L 31/035281 ・・・・{Shape of the body}

H01L 31/03529 ・・・・{Shape of the potential jump barrier or surface barrier}

H01L 31/036 ・・characterised by their crystalline structure or particular orientation of the crystalline planes

H01L 31/0368 ・・・including polycrystalline semiconductors (H01L 31/0392 takes precedence)

H01L 31/03682 ・・・・{including only elements of the fourth group of the Periodic System}

H01L 31/03685 ・・・・・{including microcrystalline silicon, uc-Si}

H01L 31/03687 ・・・・・{including microcrystalline AIVBIV alloys, e.g. uc-SiGe, uc-SiC}

H01L 31/0376 ・・・including amorphous semiconductors(H01L 31/0392 takes precedence)

H01L 31/03762 ・・・・{including only elements of the fourth group of the Periodic System}

H01L 31/03765 ・・・・・{including AIVBIV compounds or alloys, e.g. SiGe, SiC}

H01L 31/03767 ・・・・・{presenting light-induced characteristic variations, e.g. Staebler-Wronski effect}

H01L 31/0384 ・・・including other non-monocrystalline materials, e.g. semiconductor particles embedded in an insulating material (H01L 31/0392 takes precedence)

H01L 31/03845 ・・・・{comprising semiconductor nano-particles embedded in a semiconductor matrix (in insulating matrix H01L 31/0384)}

H01L 31/0392 ・・・including thin films deposited on metallic or insulating substrates; {characterised by specific substrate materials or substrate features or by the presence of intermediate layers, e.g. barrier layers, on the substrate (textured substrates H01L 31/02366)}

H01L 31/03921 ・・・・{including only elements of the fourth group of the Periodic System}

H01L 31/03923 ・・・・[N: including AIBIIICVI compound materials, e.g. CIS, CIGS

H01L 31/03925 ・・・・{including AIIBVI compound materials, e.g. CdTe, CdS}

H01L 31/03926 ・・・・{comprising a flexible substrate}

H01L 31/03928 ・・・・・{including AIBIIICVI compound, e.g. CIS, CIGS deposited on metal or polymer foils}

H01L 31/04 ・adapted as conversion devices

H01L 31/0406 ・・{Thermophotovoltaic systems}

H01L 31/0413 ・・{specially adapted for preventing damage caused by radiation, (H01L 31/0216 takes precedence)}

H01L 31/042 ・・including a panel or array of photoelectric cells, e.g. solar cells

H01L 31/0422 ・・・{characterised by the supporting structure or by the frame structure (H01L 31/045 takes precedence)}

H01L 31/0424 ・・・・{characterised by the frame structure of the solar panel}

H01L 31/0426 ・・・{specially adapted to be used in motorway sound barriers}

H01L 31/0428 ・・・{comprising DC/AC inverter means associated with the panel itself, e.g. AC module (DC/AC inverters per se H02M)}

H01L 31/045 ・・・collapsible or foldable

H01L 31/048 ・・・encapsulated or with housing

H01L 31/0481 ・・・・{characterised by the composition of the encapsulation material}

H01L 31/0482 ・・・・{specially adapted for house roof structures, e.g. roof tile elements}

H01L 31/0483 ・・・・・{Roof tile elements}

H01L 31/0484 ・・・・・{specially adapted for flat roofs}

H01L 31/0485 ・・・・{comprising specially adapted electrical connection means to the solar panel, e.g. junction boxes}

H01L 31/0486 ・・・・・{with cooling means associated with the electrical connection means, e.g. cooling means associated with or applied to the junction box (cooling means for solar cells or solar cell modules H01L 31/052)}

H01L 31/0487 ・・・・{Protective back-sheets}

H01L 31/0488 ・・・・{Double glass encapsulation, e.g. photovoltaic cells arranged between front and rear glass sheets}

H01L 31/05 ・・・characterised by special interconnection means; {comprising interconnection means for series or parallel connection of solar cells in a module, or for electrical interconnection of two or more solar cell modules}

H01L 31/0504 ・・・・{specially adapted for series or parallel connection of solar cells in a module}

H01L 31/0508 ・・・・・{the interconnection means having a particular shape}

H01L 31/0512 ・・・・・{made of a particular material or composition of materials}

H01L 31/0516 ・・・・・{specially adapted for interconnection of back-contact solar cells}

H01L 31/052 ・・・with cooling, light-reflecting or light-concentrating means {with passive cooling means, e.g. heatsinks}

H01L 31/0521 ・・・・{using a gaseous or a liquid coolant, e.g. air flow ventilation, water circulation}

H01L 31/0522 ・・・・{light reflecting or light concentrating means for solar cells or solar cells modules}

H01L 31/0524 ・・・・・{comprising light concentrating means of the refractive type, e.g. lenses}

H01L 31/0525 ・・・・・{comprising light concentrating means of the reflecting type, e.g. parabolic mirrors, concentrators using total internal reflection}

H01L 31/0527 ・・・・・{comprising light reflecting layers integrated to the solar cell, e.g. of the back surface reflector [BSR} type]

H01L 31/0528 ・・・・・{comprising spectrum splitting means, e.g. dichroic mirrors}

H01L 31/055 ・・・・where light is absorbed and re-emitted at a different wavelength by the concentrator, e.g. by using luminescent material

H01L 31/058 ・・・including means to utilise heat energy, e.g. hybrid systems, or a supplementary source of electric energy (using solar heat per se F24J 2/00); {Photovoltaic systems comprising special energy storage means}

H01L 31/0583 ・・・・{using a supplementary source of electric energy, e.g. hybrid wind-photovoltaic, thermoelectric-photovoltaic or diesel-photovoltaic energy systems}

H01L 31/0586 ・・・・{comprising special energy storage means}

H01L 31/06 ・・characterised by at least one potential-jump barrier or surface barrier

  NOTE - Groups H01L 31/061 to 31/078 are based on IPC2012.01]

H01L 31/061 ・・・the potential barriers being of the point-contact type (H01L 31/07 takes preceence)

H01L 31/062 ・・・the potential barriers being only of the metal-insulator-semiconductor type

H01L 31/065 ・・・the potential barriers being only of the graded gap type

H01L 31/068 ・・・the potential barriers being only of the PN homojunction type, e.g.bulk silicon PN homojunction solar cells or thin film polycrystalline siliconPN homojunction solar cells

H01L 31/0682 ・・・・{back-junction, i.e. rearside emitter, solar cells, e.g. interdigitated base-emitter regions back-junction cells}

H01L 31/0684 ・・・・{double emitter cells, e.g. bifacial solar cells}

H01L 31/0687 ・・・・Multiple junction or tandem solar cells

H01L 31/06875 ・・・・・{inverted grown metamorphic [IMM} multiple junction solar cells, e.g. III-V compounds inverted metamorphic multi-junction cells]

H01L 31/0693 ・・・・the devices including, apart from doping material or other impurities, only

  AIIIBV compounds, e.g. GaAs or InP solar cells

H01L 31/07 ・・・the potential barriers being only of the Schottky type

H01L 31/072 ・・・the potential barriers being only of the PN heterojunction type

H01L 31/0725 ・・・・Multiple junction or tandem solar cells

H01L 31/073 ・・・・comprising only AIIBVI compound semiconductors, e.g. CdS/CdTe solar cells

H01L 31/0735 ・・・・comprising only AIIIBV compound semiconductors, e.g. GaAs/AIGaAs or InP/GainAs solar cells

H01L 31/074 ・・・・comprising a heterojunction with an element of the fourth group of the Periodic System, e.g. ITO/Si, GaAs/Si or CdTe/Si solar cells

H01L 31/0745 ・・・・comprising a AIVBIV heterojunction, e.g. Si/Ge, SiGe/Si or Si/SiC solar cells

H01L 31/0747 ・・・・・comprising a heterojunction of crystalline and amorphous materials, e.g. heterojunction with intrinsic thin layer or HIT® solar cells

H01L 31/0749 ・・・・including a AIBIIICVI compound, e.g. CdS/CulnSe2 [CIS] heterojunction solar cells

H01L 31/075 ・・・the potential barriers being only of the PIN type

H01L 31/076 ・・・・Multiple junction or tandem solar cells

H01L 31/077 ・・・・the devices comprising monocrystalline or polycrystalline materials

H01L 31/078 ・・・including different types of potential barriers provided for in two or more of groups H01L 31/062 to H01L 31/075

H01L 31/08 ・in which radiation controls flow of current through the device, e.g. photoresistors

H01L 31/085 ・・{the device being sensitive to very short wavelength, e.g. X-ray, Gamma-rays}

H01L 31/09 ・・Devices sensitive to infra-red, visible or ultraviolet radiation (H01L 31/101 takes precedence)

H01L 31/095 ・・・{comprising amorphous semiconductors}

H01L 31/10 ・・characterised by at least one potential-jump barrier or surface barrier, e.g. phototransistors

H01L 31/101 ・・・Devices sensitive to infra-red, visible or ultra-violet radiation

H01L 31/1013 ・・・・{devices sensitive to two or more wavelengths, e.g. multi-spectrum radiation detection devices}

H01L 31/1016 ・・・・{comprising transparent or semitransparent devices}

H01L 31/102 ・・・・characterised by only one potential barrier or surface barrier

H01L 31/1025 ・・・・・{the potential barrier being of the point contact type}

H01L 31/103 ・・・・・the potential barrier being of the PN homojunction type

H01L 31/1032 ・・・・・・{the devices comprising active layers formed only by AIIBVI compounds, e.g. HgCdTe IR photodiodes}

H01L 31/1035 ・・・・・・{the devices comprising active layers formed only by AIIIBV compounds}

H01L 31/1037 ・・・・・・{the devices comprising active layers formed only by AIVBVI compounds}

H01L 31/105 ・・・・・the potential barrier being of the PIN type

H01L 31/1055 ・・・・・・{the devices comprising amorphous materials of the fourth group of the Periodic System}

H01L 31/107 ・・・・・the potential barrier working in avalanche mode, e.g avalanche photodiode

H01L 31/1075 ・・・・・・{in which the active layers, e.g. absorption or multiplication layers, form an heterostructure, e.g. SAM structure}

H01L 31/108 ・・・・・the potential barrier being of the Schottky type

H01L 31/1085 ・・・・・・{the devices being of the Metal-Semiconductor-Metal (MSM) Schottky barrier type}

H01L 31/109 ・・・・・the potential barrier being of the PN heterojunction type

H01L 31/11 ・・・・characterised by two potential barriers or surface barriers, e.g. bipolar phototransistor

H01L 31/1105 ・・・・・{the device being a bipolar phototransistor}

H01L 31/111 ・・・・characterised by at least three potential barriers, e.g. photothyristor

H01L 31/1113 ・・・・・{the device being a photothyristor}

H01L 31/1116 ・・・・・・{of the static induction type}

H01L 31/112 ・・・・characterised by field-effect operation, e.g. junction field-effect phototransistor

H01L 31/1121 ・・・・・{Devices with Schottky gate}

H01L 31/1122 ・・・・・・{the device being a CCD device}

H01L 31/1123 ・・・・・・{the device being a photo MESFET}

H01L 31/1124 ・・・・・{Devices with PN homojunction gate}

H01L 31/1125 ・・・・・・{the device being a CCD device}

H01L 31/1126 ・・・・・・{the device being a field-effect phototransistor}

H01L 31/1127 ・・・・・{Devices with PN heterojunction gate}

H01L 31/1128 ・・・・・・{the device being a CCD device}

H01L 31/1129 ・・・・・・{the device being a field-effect phototransistor}

H01L 31/113 ・・・・・being of the conductor-insulator-semiconductor type, e.g. metal-insulator-semiconductor field-effect transistor

H01L 31/1133 ・・・・・・{the device being a conductor-insulator-semiconductor diode or a CCD device}

H01L 31/1136 ・・・・・・{the device being a metal-insulator-semiconductor field-effect transistor}

H01L 31/115 ・・・Devices sensitive to very short wavelength, e.g. X-rays, gamma-rays or corpuscular radiation

H01L 31/117 ・・・・of the bulk effect radiation detector type, e.g. Ge-Li compensated PIN gamma-ray detectors

H01L 31/1175 ・・・・・{Li compensated PIN gamma-ray detectors}

H01L 31/118 ・・・・of the surface barrier or shallow PN junction detector type, e.g. surface barrier alpha-particle detectors

H01L 31/1185 ・・・・・{of the shallow PN junction detector type}

H01L 31/119 ・・・・characterised by field-effect operation, e.g. MIS type detectors

H01L 31/12 ・Structurally associated with, e.g. formed in or on a common substrate with, one or more electric light sources, e.g. electroluminescent light sources, and electrically or optically coupled thereto (semiconductor devices with at least one potential barrier or surface barrier adapted for light emission H01L 33/00; amplifiers using electroluminescent element and photocell H03F 17/00; electroluminescent light sources per se H05B 33/00)

H01L 31/125 ・・{Composite devices with photosensitive elements and electroluminescent elements within one single body}

H01L 31/14 ・・the light source or sources being controlled by the semiconductor device sensitive to radiation, e.g. image converters, image amplifiers, image storage devices

H01L 31/141 ・・・{the semiconductor device sensitive to radiation being without a potential-jump barrier or surface barrier}

H01L 31/143 ・・・・{the light source being a semiconductor device with at least one potential-jump barrier or surface barrier, e.g. light emitting diode}

H01L 31/145 ・・・{the semiconductor device sensitive to radiation being characterised by at least one potential-jump barrier or surface barrier}

H01L 31/147 ・・・the light sources and the devices sensitive to radiation all being semiconductor devices characterised by at least one potential or surface barrier

H01L 31/153 ・・・・formed in, or on, a common substrate

H01L 31/16 ・・the semiconductor device sensitive to radiation being controlled by the light source or sources

H01L 31/161 ・・・{Semiconductor device sensitive to radiation without a potential-jump or surface barrier, e.g. photoresistors}

H01L 31/162 ・・・・{the light source being a semiconductor device with at least one potential-jump barrier or surface barrier e.g. a light emitting diode}

H01L 31/164 ・・・・{Optical potentiometers}

H01L 31/165 ・・・{the semiconductor sensitive to radiation being characterised by at least one potential-jump or surface barrier}

H01L 31/167 ・・・the light sources and the devices sensitive to radiation all being semiconductor devices characterised by at least one potential or surface barrier

H01L 31/173 ・・・・formed in, or on, a common substrate

H01L 31/18 ・Processes or apparatus peculiar to the manufacture or treatment of these devices or of parts thereof (not peculiar thereto H01L 21/00)

H01L 31/1804 ・・{comprising only elements of the fourth group of the Periodic System}

H01L 31/1808 ・・・{including only Ge}

H01L 31/1812 ・・・{including only AIVBIV alloys, e.g. SiGe}

H01L 31/1816 ・・・・{Special manufacturing methods for microcrystalline layers, e.g. uc-SiGe, uc-SiC}

H01L 31/182 ・・・{Special manufacturing methods for polycrystalline Si, e.g. Si ribbon, poly Si ingots, thin films of polycrystalline Si}

H01L 31/1824 ・・・・{Special manufacturing methods for microcrystalline Si, uc-Si}

H01L 31/1828 ・・{the active layers comprising only AIIBVI compounds, e.g. CdS, ZnS, CdTe}

H01L 31/1832 ・・・{comprising ternary compounds, e.g. Hg Cd Te}

H01L 31/1836 ・・・{comprising a growth substrate not being an AIIBVI compound}

H01L 31/184 ・・{the active layers comprising only AIIIBV compounds, e.g. GaAs, InP}

H01L 31/1844 ・・・{comprising ternary or quaternary compounds, e.g. Ga Al As, In Ga As P}

H01L 31/1848 ・・・・{comprising nitride compounds, e.g. InGaN, InGaAlN}

H01L 31/1852 ・・・{comprising a growth substrate not being an AIIIBV compound}

H01L 31/1856 ・・・{comprising nitride compounds, e.g. GaN}

H01L 31/186 ・・{Particular post-treatment for the devices, e.g. annealing, impurity gettering, short-circuit elimination, recrystallisation}

H01L 31/1864 ・・・{Annealing}

H01L 31/1868 ・・・{Passivation}

H01L 31/1872 ・・・{Recrystallisation}

H01L 31/1876 ・・{Particular processes or apparatus for batch treatment of the devices}

H01L 31/188 ・・・{Apparatus specially adapted for automatic interconnection of solar cells in a module}

H01L 31/1884 ・・{Manufacture of transparent electrodes, e.g. TCO, ITO}

H01L 31/1888 ・・・{methods for etching transparent electrodes}

H01L 31/1892 ・・{methods involving the use of temporary, removable substrates}

H01L 31/1896 ・・・{for thin-film semiconductors}

H01L 31/20 ・・such devices or parts thereof comprising amorphous semiconductor materials

H01L 31/202 ・・・{including only elements of the fourth group of the Periodic System}

H01L 31/204 ・・・・{including AIVBIV alloys, e.g. SiGe, SiC}

H01L 31/206 ・・・{Particular processes or apparatus for continuous treatment of the devices, e.g. roll-to roll processes, multi-chamber deposition}

H01L 31/208 ・・・{Particular post-treatment of the devices, e.g. annealing, short-circuit elimination}

H01L 33/00 Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof (H01L 51/50 takes precedence; devices consisting of a plurality of semiconductor components formed in or on a common substrate and including semiconductor components with at least one potential-jump barrier or surface barrier, specially adapted for light emission H01L 27/15; semiconductor lasers H01S 5/00)

  NOTE - 1. This group covers light emitting diodes [LEDs] or superluminescent diodes
  [SLDs], including LEDs or SLDs emitting infra-red [IR] light or ultra-violet [UV] light.
  2. In this group, at each hierarchical level, in the absence of an indication to the contrary, classification is made in the first appropriate place.

H01L 33/0004 ・{Devices characterised by their operation}

H01L 33/0008 ・・{having p-n or hi-lo junctions}

H01L 33/0012 ・・・{p-i-n devices}

H01L 33/0016 ・・・{having at least two p-n junctions}

H01L 33/002 ・・{having heterojunctions or graded gap}

H01L 33/0025 ・・・{comprising only AIIIBV compounds}

H01L 33/0029 ・・・{comprising only AIIBVI compounds}

H01L 33/0033 ・・{having Schottky barriers}

H01L 33/0037 ・・{having a MIS barrier layer}

H01L 33/0041 ・・{characterised by field-effect operation}

H01L 33/0045 ・・{the devices being superluminescent diodes}

H01L 33/005 ・{Processes}

H01L 33/0054 ・・{for devices with an active region comprising only group IV elements}

H01L 33/0058 ・・・{comprising amorphous semiconductors}

H01L 33/0062 ・・{for devices with an active region comprising only III-V compounds}

H01L 33/0066 ・・・{with a substrate not being a III-V compound}

H01L 33/007 ・・・・{comprising nitride compounds}

H01L 33/0075 ・・・{comprising nitride compounds}

H01L 33/0079 ・・・{wafer bonding or at least partial removal of the growth substrate}

H01L 33/0083 ・・{for devices with an active region comprising only II-VI compounds}

H01L 33/0087 ・・・{with a substrate not being a II-VI compound}

H01L 33/0091 ・・{for devices with an active region comprising only IV-VI compounds}

H01L 33/0095 ・・{Post-treatments of the devices, e.g. annealing, recrystallisation, short-circuit elimination}

H01L 33/02 ・characterised by the semiconductor bodies

H01L 33/025 ・・{Physical imperfections, e.g. particular concentration or distribution of impurities}

H01L 33/04 ・・with a quantum effect structure or superlattice e.g. tunnel junction

H01L 33/06 ・・・within the light emitting region, e.g. quantum confinement structure or tunnel barrier

H01L 33/08 ・・with a plurality of light emitting regions, e.g. laterally discontinuous light emitting layer or photo-luminescent region integrated within the semiconductor body (H01L 27/15 takes precedence)

H01L 33/10 ・・with a light reflecting structure, e.g. semiconductor Bragg reflector

H01L 33/105 ・・・{with a resonant cavity structure}

H01L 33/12 ・・with a stress relaxation structure, e.g. buffer layer

H01L 33/14 ・・with a carrier transport control structure, e.g. highly-doped semiconductor layer or current-blocking structure

H01L 33/145 ・・・{with a current-blocking structure}

H01L 33/16 ・・with a particular crystal structure or orientation, e.g. polycrystalline, amorphous or porous

H01L 33/18 ・・・within the light emitting region

  NOTE - When classifying in this group, classification is also made in group H01L 33/26 or one of its subgroups in order to identify the chemical composition of the light emitting region

H01L 33/20 ・・with a particular shape, e.g. curved or truncated substrate

H01L 33/22 ・・・Roughened surfaces, e.g. at the interface between epitaxial layers

H01L 33/24 ・・・of the light emitting region, e.g. non-planar junction

H01L 33/26 ・・Materials of the light emitting region

H01L 33/28 ・・・containing only elements of group II and group VI of the periodic system

H01L 33/285 ・・・・{characterised by the doping materials}

H01L 33/30 ・・・containing only elements of group III and group V of the periodic system

H01L 33/305 ・・・・{characterised by the doping materials}

H01L 33/32 ・・・・containing nitrogen

H01L 33/325 ・・・・・{characterised by the doping materials}

H01L 33/34 ・・・containing only elements of group IV of the periodic system

H01L 33/343 ・・・・{characterised by the doping materials}

H01L 33/346 ・・・・{containing porous silicon}

H01L 33/36 ・characterised by the electrodes

H01L 33/38 ・・with a particular shape

H01L 33/382 ・・・{the electrode extending partially in or entirely through the semiconductor body}

H01L 33/385 ・・・{the electrode extending at least partially onto a side surface of the semiconductor body}

H01L 33/387 ・・・{with a plurality of electrode regions in direct contact with the semiconductor body and being electrically interconnected by another electrode layer}

H01L 33/40 ・・Materials therefor

H01L 33/405 ・・・{Reflective materials}

H01L 33/42 ・・・Transparent materials

H01L 33/44 ・characterised by the coatings, e.g. passivation layer or anti-reflective coating

H01L 33/46 ・・Reflective coating, e.g. dielectric Bragg reflector

H01L 33/465 ・・・{with a resonant cavity structure}

H01L 33/48 ・characterised by the semiconductor body packages

  NOTE - This group covers elements in intimate contact with the semiconductor body or integrated with the package

H01L 33/483 ・・{Containers}

H01L 33/486 ・・・{adapted for surface mounting}

H01L 33/50 ・・Wavelength conversion elements

H01L 33/501 ・・・{characterised by the materials, e.g. binder}

H01L 33/502 ・・・・{Wavelength conversion materials}

H01L 33/504 ・・・・・{Elements with two or more wavelength conversion materials}

H01L 33/505 ・・・{characterised by the shape, e.g. plate or foil}

H01L 33/507 ・・・{the elements being in intimate contact with parts other than the semiconductor body or integrated with parts other than the semiconductor body}

H01L 33/508 ・・・{having a non-uniform spatial arrangement or non-uniform concentration, e.g. patterned wavelength conversion layer, wavelength conversion layer with a concentration gradient of the wavelength conversion material}

H01L 33/52 ・・Encapsulations

H01L 33/54 ・・・having a particular shape

H01L 33/56 ・・・Materials, e.g. epoxy or silicone resin

H01L 33/58 ・・Optical field-shaping elements

H01L 33/60 ・・・Reflective elements

H01L 33/62 ・・Arrangements for conducting electric current to or from the semiconductor body, e.g. lead-frames, wire-bonds or solder balls

H01L 33/64 ・・Heat extraction or cooling elements

H01L 33/641 ・・・{characterized by the materials}

H01L 33/642 ・・・{characterized by the shape}

H01L 33/644 ・・・{in intimate contact or integrated with parts of the device other than the semiconductor body}

H01L 33/645 ・・・{the elements being electrically controlled, e.g. Peltier elements}

H01L 33/647 ・・・{the elements conducting electric current to or from the semiconductor body}

H01L 33/648 ・・・{the elements comprising fluids, e.g. heat-pipes}

H01L 35/00 Thermo-electric devices comprising a junction of dissimilar materials, i.e. exhibiting Seebeck or Peltier effect with or without other thermo-electric effects or thermomagnetic effects; Processes or apparatus peculiar to the manufacture or treatment thereof or of parts thereof; Details thereof (devices consisting of a plurality of solid state components formed in or on a common substrate H01L 27/00; refrigerating machines using electric or magnetic effects F25B 21/00; thermometers using thermoelectric or thermomagnetic elements G01K 7/00; obtaining energy from radioactive sources G21H)

H01L 35/02 ・Details

H01L 35/04 ・・Structural details of the junction; Connection of leads

H01L 35/06 ・・・detachable, e.g. using a spring

H01L 35/08 ・・・non-detachable, e.g. cemented, sintered, soldered, {e.g. thin films}

H01L 35/10 ・・・Connections of leads

H01L 35/12 ・Selection of the material for the legs of the junction

H01L 35/14 ・・using inorganic compositions

H01L 35/16 ・・・comprising tellurium or selenium or sulfur

H01L 35/18 ・・・comprising arsenic or antimony or bismuth (H01L 35/16 takes precedence), {e.g. AIIIBV compounds}

H01L 35/20 ・・・comprising metals only (H01L 35/16, H01L 35/18 take precedence)

H01L 35/22 ・・・comprising compounds containing boron, carbon, oxygen or nitrogen {or germanium or silicon, e.g. superconductors}

H01L 35/225 ・・・・{Superconducting materials}

H01L 35/24 ・・using organic compositions

H01L 35/26 ・・using compositions changing continuously or discontinuously inside the material

H01L 35/28 ・operating with Peltier or Seebeck effect only

H01L 35/30 ・・characterised by the heat-exchanging means at the junction

H01L 35/32 ・・characterised by the structure or configuration of the cell or thermo-couple forming the device {including details about, e.g., housing, insulation, geometry, module}

H01L 35/325 ・・・{Cascades of thermo-couples}

H01L 35/34 ・Processes or apparatus peculiar to the manufacture or treatment of these devices or of parts thereof (not peculiar thereto H01L 21/00)

H01L 37/00 Thermoelectric devices without a junction of dissimilar materials; Thermomagnetic devices, e.g. using Nernst-Ettinghausen effect; Processes or apparatus peculiar to the manufacture or treatment thereof or of parts thereof (devices consisting of a plurality of solid state components formed in or on a common substrate H01L 27/00; {radiation pyrometers using pyroelectric detectors G01J 5/34} thermometers using thermo-electric or thermomagnetic elements G01K 7/00; selection of materials for magnetography, e.g. for Curie-point writing G03G 5/00)

H01L 37/02 ・using thermal change of dielectric constant, e.g. working above and below Curie point {e.g. pyroelectric devices}

H01L 37/025 ・・{Selection of materials}

H01L 37/04 ・using thermal change of magnetic permeability, e.g. working above and below the Curie point {e.g. pyromagnetic devices}

H01L 39/00 Devices using superconductivity; Processes or apparatus peculiar to the manufacture or treatment thereof or of parts thereof (devices consisting of a plurality of solid state components formed in or on a common substrate H01L 27/00; {light detection G01J, G02F 2/00; application to memories G11C 11/44, G11C 15/00, G11C 19/32}; superconducting conductors cables or transmission lines H01B 12/00; {microwaves H01P 7/00, H01P 11/00}; superconductive coils or windings H01F; amplifiers using superconductivity H03F 19/00; {impulse generators and logic circuits H03K 3/38, H03K 17/92, H03K 19/195; lasers H01S 3/00, H01S 5/00})

  NOTE - In this group, in the absence of an indication to the contrary, an invention is classified in the last appropriate place

H01L 39/005 ・{Alleged superconductivity}

H01L 39/02 ・Details

H01L 39/025 ・・{for Josephson devices}

H01L 39/04 ・・Containers; Mountings

H01L 39/045 ・・・{for Josephson devices}

H01L 39/06 ・・characterised by the current path

H01L 39/08 ・・characterised by the shape of the element

H01L 39/10 ・・characterised by the means for switching {between superconductive and normal states}

H01L 39/12 ・・characterised by the material

H01L 39/121 ・・・{Organic materials}

H01L 39/123 ・・・・{Fullerene superconductors, e.g. soccerball-shaped allotrope of carbon, e.g. C60, C94 (fullerenes in general C07C 13/00)

H01L 39/125 ・・・{Ceramic materials}

H01L 39/126 ・・・・{comprising copper oxide}

H01L 39/128 ・・・・・{Multi-layered structures, e.g. super lattices}

H01L 39/14 ・Permanent superconductor devices

H01L 39/141 ・・{comprising metal borides, e.g. MgB2}

H01L 39/143 ・・{comprising high Tc ceramic materials}

H01L 39/145 ・・{Three or more electrode devices (H01L 39/228 takes precedence)}

H01L 39/146 ・・・{Field effect devices}

H01L 39/148 ・・{Abrikosov vortex devices}

H01L 39/16 ・Devices switchable between superconductive and normal states, {e.g. switches, current limiters (circuits for current limitation using superconductor elements H02H 9/023)}

H01L 39/18 ・・Cryotrons

H01L 39/20 ・・・Power cryotrons

H01L 39/22 ・Devices comprising a junction of dissimilar materials, e.g. Josephson-effect devices

H01L 39/221 ・・{Single electron tunnelling devices}

H01L 39/223 ・・{Josephson-effect devices}

H01L 39/225 ・・・{comprising high Tc ceramic materials}

H01L 39/226 ・・・{comprising metal borides, e.g. MgB2}

H01L 39/228 ・・{three or more electrode devices, e.g. transistor-like structures}

H01L 39/24 ・Processes or apparatus peculiar to the manufacture or treatment of devices provided for in H01L 39/00 or of parts thereof

H01L 39/2403 ・・{Processes peculiar to the manufacture or treatment of composite superconductor filaments (comprising copper oxide H01L 39/2419)}

H01L 39/2406 ・・{of devices comprising Nb or an alloy of Nb with one or more of the elements of group 4, e.g. Ti, Zr, Hf}

H01L 39/2409 ・・{of devices comprising an intermetallic compound of type A-15, e.g. Nb3Sn}

H01L 39/2412 ・・{of devices comprising molybdenum chalcogenides}

H01L 39/2416 ・・{of devices comprising nitrides or carbonitrides}

H01L 39/2419 ・・{the superconducting material comprising copper oxide}

H01L 39/2422 ・・・{Processes for depositing or forming superconductor layers}

H01L 39/2425 ・・・・{from a solution}

H01L 39/2429 ・・・・{from a suspension or slurry, e.g. screen printing; doctor blade casting}

H01L 39/2432 ・・・・{by evaporation independent of heat source, e.g. MBE}

H01L 39/2435 ・・・・{by sputtering}

H01L 39/2438 ・・・・{by chemical vapour deposition (CVD)}

H01L 39/2441 ・・・・・{by metalloorganic chemical vapour deposition (MOCVD)}

H01L 39/2445 ・・・・{by thermal spraying, e.g. plasma deposition}

H01L 39/2448 ・・・・{Pulsed laser deposition, e.g. laser sputtering; laser ablation}

H01L 39/2451 ・・・・{Precursor deposition followed by after?-treatment, e.g. oxidation}

H01L 39/2454 ・・・・{characterised by the substrate}

H01L 39/2458 ・・・・・{Monocrystalline substrates, e.g. epitaxial growth}

H01L 39/2461 ・・・・・{Intermediate layers, e.g. for growth control}

H01L 39/2464 ・・・{After-treatment, e.g. patterning}

H01L 39/2467 ・・・・{Etching}

H01L 39/247 ・・・・{Passivation}

H01L 39/2474 ・・・{Manufacture or deposition of contacts or electrodes}

H01L 39/2477 ・・・{Processes including the use of precursors}

H01L 39/248 ・・・{Processes peculiar to the manufacture or treatment of filaments or composite wires}

H01L 39/2483 ・・・{Introducing flux pinning centres}

H01L 39/2487 ・・{of devices comprising metal borides, e.g. MgB2}

H01L 39/249 ・・{Treatment of superconductive layers by irradiation, e.g. ion-beam, electron-beam, laser beam, X-rays (irradiation devices G21K, H01J)}

H01L 39/2493 ・・{for Josephson devices}

H01L 39/2496 ・・・{comprising high Tc ceramic materials}

H01L 41/00 Piezo-electric devices in general; Electrostrictive devices in general; Magnetostrictive devices in general; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof (devices consisting of a plurality of solid-state components formed in or on a common substrate H01L 27/00)

  WARNING - H01L 41/22 - 41/47 correspond to IPC2013.01.Concordance CPC : IPC for these groups is as follows:- H01L 41/22 - 41/37 : H01L 41/22- H01L 41/39 - 41/43 :H01L 41/24- H01L 41/45 : H01L 41/26- H01L 41/47 : H01L 41/222. Pending reorganisation, the groups H01L 41/23 to H01L 41/47 are not complete; see provisionally also H01L 41/22

H01L 41/02 ・Details

H01L 41/04 ・・of piezo-electric or electrostrictive devices

H01L 41/042 ・・・{Drive or control circuitry or methods for piezo-electric or electrostrictive devices not otherwise provided for}

H01L 41/044 ・・・・{for piezoelectric transformers (conversion of DC or AC power H02M; for operating discharge lamps H05B 41/282)}

H01L 41/047 ・・・Electrodes {or electrical connection arrangements}

  WARNING - Pending reorganisation, the groups H01L 41/0471 to H01L 41/0477 are not complete, see provisionally also H01L 41/047

H01L 41/0471 ・・・・{Individual layer electrodes of multilayer piezo-electric or electrostrictive devices, e.g. internal electrodes}

H01L 41/0472 ・・・・{Connection electrodes of multilayer piezo-electric or electrostrictive devices, e.g. external electrodes}

H01L 41/0474 ・・・・・{embedded within piezo-electric or electrostrictive material, e.g. via connections}

H01L 41/0475 ・・・・{Further connection or lead arrangements, e.g. flexible wiring boards, terminal pins}

H01L 41/0477 ・・・・{Conductive materials (in general H01B 1/00)}

H01L 41/0478 ・・・・・{the principal material being non-metallic, e.g. oxide or carbon based}

H01L 41/053 ・・・Mounts, supports, enclosures or casings

  WARNING - Pending reorganisation, the groups H01L 41/0533 to H01L 41/0536 are not complete, see provisionally also H01L 41/053

H01L 41/0533 ・・・・{Further insulation means against electrical, physical or chemical damage, e.g. protective coatings}

H01L 41/0536 ・・・・{Mechanical prestressing means, e.g. springs (in general F16F 1/00)}

H01L 41/06 ・・of magnetostrictive devices

H01L 41/08 ・Piezo-electric or electrostrictive devices

  WARNING - Pending reorganisation, the groups H01L 41/08C, H01L 41/08F, and H01L 41/0825 are not complete, see provisionally also H01L 41/08

H01L 41/0805 ・・{based on piezo-electric or electrostrictive films or coatings}

H01L 41/081 ・・・{characterised by the underlying base, e.g. substrates}

H01L 41/0815 ・・・・{Intermediate layers, e.g. barrier, adhesion or growth control buffer layers}

H01L 41/082 ・・{based on piezo-electric or electrostrictive fibres}

H01L 41/0825 ・・{with electrical and mechanical input and output, e.g. having combined actuator and sensor parts}

H01L 41/083 ・・having a stacked or multilayer structure

  WARNING - Pending reorganisation, the groups H01L 41/0831 to H01L 41/0838 are not complete, see provisionally also H01L 41/083

H01L 41/0831 ・・・{with non-rectangular cross-section in stacking direction, e.g. polygonal, trapezoidal}

H01L 41/0833 ・・・{with non-rectangular cross-section orthogonal to the stacking direction, e.g. polygonal, circular}

H01L 41/0835 ・・・・{Annular cross-section}

H01L 41/0836 ・・・{of cylindrical shape with stacking in radial direction, e.g. coaxial or spiral type rolls}

H01L 41/0838 ・・・{adapted for alleviating internal stress, e.g. cracking control layers ("Sollbruchstellen")}

H01L 41/087 ・・formed as coaxial cables

H01L 41/09 ・・with electrical input and mechanical output [N: e.g. actuators, vibrators (in frequency selective networks H03H9)

H01L 41/0906 ・・・{using longitudinal or thickness displacement combined with bending, shear or torsion displacement}

  WARNING - Pending reorganisation, the groups H01L 41/0913 to H01L 41/092 are not complete, see provisionally also H01L 41/09B

H01L 41/0913 ・・・・{with polygonal or rectangular shape}

H01L 41/092 ・・・・{with cylindrical or annular shape}

H01L 41/0926 ・・・{using bending displacement, e.g. unimorph, bimorph or multimorph cantilever or membrane benders}

  WARNING - Pending reorganisation, the groups H01L 41/0933 to H01L 41/098 are not complete, see provisionally also H01L 41/0926

H01L 41/0933 ・・・・{Beam type}

H01L 41/094 ・・・・・{Cantilevers, i.e. having one fixed end}

H01L 41/0946 ・・・・・・{connected at their free ends, e.g. parallelogram type}

H01L 41/0953 ・・・・・・{with multiple segments mechanically connected in series, e.g. zig-zag type}

H01L 41/096 ・・・・・・{adapted for in-plane bending displacement}

H01L 41/0966 ・・・・・・{adapted for multi-directional bending displacement}

H01L 41/0973 ・・・・{Membrane type}

H01L 41/098 ・・・・・N: with non-planar shape]

H01L 41/0986 ・・・{using longitudinal or thickness displacement only, e.g. d33 or d31 type devices}

  WARNING - Pending reorganisation, this group is not complete, see provisionally also H01L 41/09

H01L 41/0993 ・・・{using shear or torsion displacement, e.g. d15 type devices}

  WARNING - Pending reorganisation, this group is not complete, see provisionally also H01L 41/09

H01L 41/107 ・・with electrical input and electrical output {e.g. transformers}

H01L 41/113 ・・with mechanical input and electrical output {e.g. generators, sensors}

  WARNING - Pending reorganisation, the groups H01L 41/113 to H01L 41/1138 are not complete, see provisionally also H01L 41/113 and H02N 2/18

H01L 41/1132 ・・・{Sensors}

H01L 41/1134 ・・・{Beam type}

H01L 41/1136 ・・・・{Cantilevers}

H01L 41/1138 ・・・{Membrane type}

H01L 41/12 ・Magnetostrictive devices

H01L 41/125 ・・{with mechanical input and electrical output, e.g. generators, sensors}

H01L 41/16 ・Selection of materials

H01L 41/18 ・・for piezo-electric or electrostrictive devices {e.g. bulk piezo-electric crystals}

H01L 41/183 ・・・{Composite materials, e.g. having 1-3 or 2-2 type connectivity}

  WARNING - Pending reorganisation, this group is not complete, see provisionally also H01L 41/18

H01L 41/187 ・・・Ceramic compositions {i.e. synthetic inorganic polycrystalline compounds incl. epitaxial, quasi-crystalline materials}

  WARNING - Pending reorganisation, the groups H01L 41/1871 to H01L 41/1878 are not complete, see provisionally also H01L 41/187]

H01L 41/1871 ・・・・{Alkaline earth metal based oxides, e.g. barium titanates}

H01L 41/1873 ・・・・{Alkali metal based oxides, e.g. lithium, sodium or potassium niobates}

H01L 41/1875 ・・・・{Lead based oxides}

H01L 41/1876 ・・・・・{Lead zirconate titanate based}

H01L 41/1878 ・・・・{Bismuth based oxides}

H01L 41/193 ・・・Macromolecular compositions {e.g. piezo-electric polymers}

H01L 41/20 ・・for magnetostrictive devices

H01L 41/22 ・Processes or apparatus specially adapted for the assembly, manufacture or treatment of piezo-electric or electrostrictive devices or of parts thereof

H01L 41/23 ・・Forming enclosures or casings

H01L 41/25 ・・Assembling devices that include piezo-electric or electrostrictive parts

H01L 41/253 ・・Treating devices or parts thereof to modify a piezo-electric or electrostrictive property, e.g. polarisation characteristics, vibration characteristics or mode tuning

H01L 41/257 ・・・by polarising

H01L 41/27 ・・Manufacturing multilayered piezo-electric or electrostrictive devices or parts thereof, e.g. by stacking piezo-electric bodies and electrodes

H01L 41/273 ・・・by integrally sintering piezo-electric or electrostrictive bodies and electrodes

H01L 41/277 ・・・by stacking bulk piezo-electric or electrostrictive bodies and electrodes

H01L 41/29 ・・Forming electrodes, leads or terminal arrangements

H01L 41/293 ・・・Connection electrodes of multilayered piezo-electric or electrostrictive parts

  NOTE - H01L 41/293 and H01L 41/297

H01L 41/297 ・・・Individual layer electrodes of multilayered piezo-electric or electrostrictive parts

  NOTE - H01L 41/293 and H01L 41/297

H01L 41/31 ・・Applying piezo-electric or electrostrictive parts or bodies onto an electrical element or another base

H01L 41/311 ・・・Mounting of piezo-electric or electrostrictive parts together with semiconductor elements, or other circuit elements, on a common substrate

H01L 41/312 ・・・by laminating or bonding of piezo-electric or electrostrictive bodies

H01L 41/313 ・・・・by metal fusing or with adhesives

H01L 41/314 ・・・by depositing piezo-electric or electrostrictive layers, e.g. aerosol or screen printing

H01L 41/316 ・・・・by vapour phase deposition

H01L 41/317 ・・・・by liquid phase deposition

H01L 41/318 ・・・・・by sol-gel deposition

H01L 41/319 ・・・・using intermediate layers, e.g. for growth control

H01L 41/33 ・・Shaping or machining of piezo-electric or electrostrictive bodies

H01L 41/331 ・・・by coating or depositing using masks, e.g. lift-off

H01L 41/332 ・・・by etching, e.g. lithography

H01L 41/333 ・・・by moulding or extrusion

H01L 41/335 ・・・by machining

H01L 41/337 ・・・・by polishing or grinding

H01L 41/338 ・・・・by cutting or dicing

H01L 41/339 ・・・・by punching

H01L 41/35 ・・Forming piezo-electric or electrostrictive materials

H01L 41/37 ・・・Composite materials

H01L 41/39 ・・・Inorganic materials

H01L 41/41 ・・・・by melting

H01L 41/43 ・・・・by sintering

H01L 41/45 ・・・Organic materials

H01L 41/47 ・Processes or apparatus specially adapted for the assembly, manufacture or treatment of magnetostrictive devices or of parts thereof

H01L 43/00 Devices using galvano-magnetic or similar magnetic effects; Processes or apparatus peculiar to the manufacture or treatment thereof or of parts thereof (devices consisting of a plurality of solid state components formed in or on a common substrate H01L 27/00; devices with potential-jump barrier, or surface barrier controllable by variation of a magnetic field H01L 29/82)

H01L 43/02 ・Details

H01L 43/04 ・・of Hall-effect devices

H01L 43/06 ・Hall-effect devices

H01L 43/065 ・・{Semiconductor Hall-effect devices}

H01L 43/08 ・Magnetic-field-controlled resistors

H01L 43/10 ・Selection of materials

H01L 43/12 ・Processes or apparatus peculiar to the manufacture or treatment of these devices or of parts thereof (not peculiar thereto H01L 21/00)

H01L 43/14 ・・for Hall-effect devices

H01L 45/00 Solid state devices adapted for rectifying, amplifying, oscillating or switching without a potential-jump barrier or surface barrier, e.g. dielectric triodes; Ovshinsky-effect devices; Processes or apparatus peculiar to the manufacture or treatment thereof or of parts thereof (devices consisting of a plurality of solid state components formed in or on a common substrate H01L 27/00; devices using superconductivity H01L 39/00; piezo-electric devices H01L 41/00; bulk negative resistance effect devices H01L 47/00; {memories G11C 11/34; G11C 13/0002; amplifying circuits H03F 11/00; pulse generation H03K 3/02; electronic switching circuits H03K 17/00; logic circuits H03K 19/00})

  WARNING - H01L 45/04 - H01L 45/16 do not correspond to former or current IPC groups. IPC concordance of said groups is - H01L 45/04 - H01L 45/16 : H01L 45/00.Groups H01L 45/06 to H01L 45/1691 are not complete pending reclassification; see provisionally also group H01L 45/04

H01L 45/005 ・{Charge density wave transport devices}

H01L 45/02 ・Solid state travelling-wave devices

H01L 45/04 ・{Bistable or multistable switching devices, e.g. for resistance switching non-volatile memory}

H01L 45/06 ・・{based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect}

H01L 45/065 ・・・{between different crystalline phases, e.g. cubic and hexagonal}

H01L 45/08 ・・{based on migration or redistribution of ionic species, e.g. anions, vacancies}

H01L 45/085 ・・・{the species being metal cations, e.g. programmable metallization cells}

H01L 45/10 ・・{based on bulk electronic defects, e.g. trapping of electrons}

H01L 45/12 ・・{Details}

H01L 45/1206 ・・・{Three or more terminal devices, e.g. transistor like devices}

H01L 45/1213 ・・・{Radiation or particle beam assisted switching devices, e.g. optically controlled devices}

H01L 45/122 ・・・{Device geometry}

H01L 45/1226 ・・・・{adapted for essentially horizontal current flow, e.g. bridge type devices}

H01L 45/1233 ・・・・{adapted for essentially vertical current flow, e.g. sandwich or pillar type devices}

H01L 45/124 ・・・・・{on sidewalls of dielectric structures, e.g. mesa or cup type devices}

H01L 45/1246 ・・・・{Further means within the switching material region to limit current flow, e.g. constrictions}

H01L 45/1253 ・・・{Electrodes}

H01L 45/126 ・・・・{adapted for resistive heating}

H01L 45/1266 ・・・・{adapted for supplying ionic species}

H01L 45/1273 ・・・・{adapted for electric field or current focusing, e.g. tip shaped}

H01L 45/128 ・・・{Thermal details}

H01L 45/1286 ・・・・{Heating or cooling means other than resistive heating electrodes, e.g. heater in parallel}

H01L 45/1293 ・・・・{Thermal insulation means}

H01L 45/14 ・・{Selection of switching materials}

H01L 45/141 ・・・{Compounds of sulfur, selenium or tellurium, e.g. chalcogenides}

H01L 45/142 ・・・・{Sulfides, e.g. CuS}

H01L 45/143 ・・・・{Selenides, e.g. GeSe}

H01L 45/144 ・・・・{Tellurides, e.g. GeSbTe}

H01L 45/145 ・・・{Oxides or nitrides}

H01L 45/146 ・・・・{Binary metal oxides, e.g. TaOx}

H01L 45/147 ・・・・{Complex metal oxides, e.g. perovskites, spinels}

H01L 45/148 ・・・{Other compounds of groups 13-15, e.g. elemental or compound semiconductors}

H01L 45/149 ・・・・{Carbon or carbides}

H01L 45/16 ・・{Manufacturing}

H01L 45/1608 ・・・{Formation of the switching material, e.g. layer deposition}

H01L 45/1616 ・・・・{by chemical vapor deposition, e.g. MOCVD, ALD}

H01L 45/1625 ・・・・{by physical vapor deposition, e.g. sputtering}

H01L 45/1633 ・・・・{by conversion of electrode material, e.g. oxidation}

H01L 45/1641 ・・・{Modification of the switching material, e.g. post-treatment, doping}

H01L 45/165 ・・・・{by implantation}

H01L 45/1658 ・・・・{by diffusion, e.g. photo-dissolution}

H01L 45/1666 ・・・{Patterning of the switching material}

H01L 45/1675 ・・・・{by etching of pre-deposited switching material layers, e.g. lithography}

H01L 45/1683 ・・・・{by filling of openings, e.g. damascene method}

H01L 45/1691 ・・・・{Patterning process specially adapted for achieving sub-lithographic dimensions, e.g. using spacers}

H01L 47/00 Bulk negative resistance effect devices, e.g. Gunn-effect devices; Processes or apparatus peculiar to the manufacture or treatment thereof or of parts thereof (devices consisting of a plurality of solid state components formed in or on a common substrate H01L 27/00)

H01L 47/005 ・{Processes or apparatus peculiar to the manufacture or treatment of these devices or of parts thereof (not peculiar thereto H01L 21/00)}

H01L 47/02 ・Gunn-effect devices {or transferred electron devices}

H01L 47/023 ・・{controlled by electromagnetic radiation}

H01L 47/026 ・・{Gunn diodes (H01L 47/02 takes precedence)}

H01L 49/00 Solid state devices not provided for in groups H01L 27/00 to H01L 47/00 and H01L 51/00 not provided for in any other subclass; Processes or apparatus peculiar to the manufacture or treatment thereof or of parts thereof

H01L 49/003 ・{Devices using Mott metal-insulator transition, e.g. field effect transistors}

H01L 49/006 ・{Quantum devices, e.g. Quantum Interference Devices, Metal Single Electron Transistor(using semiconductors in the active part H01L 29/00)}

H01L 49/02 ・Thin-film or thick-film devices

H01L 51/00 Solid state devices using organic materials as the active part, or using a combination of organic materials with other materials as the active part; Processes or apparatus specially adapted for the manufacture or treatment of such devices, or of parts thereof (devices consisting of a plurality of components formed in or on a common substrate H01L 27/28; thermoelectric devices using organic material H01L 35/00, H01L 37/00; piezoelectric, electrostrictive or magnetostrictive elements using organic material H01L 41/00)

H01L 51/0001 ・{Processes specially adapted for the manufacture or treatment of devices or of parts thereof (multistep processes H01L 51/0098, H01L 51/05, H01L 51/12, H01L 51/50)}

H01L 51/0002 ・・{Deposition of organic semiconductor materials on a substrate}

H01L 51/0003 ・・・{using liquid deposition, e.g. spin coating}

H01L 51/0004 ・・・・{using printing techniques, e.g. ink-jet printing, screen printing}

H01L 51/0005 ・・・・・{ink-jet printing}

H01L 51/0006 ・・・・{Electrolytic deposition using an external electrical current, e.g. in-situ electropolymerisation}

H01L 51/0007 ・・・・{characterised by the solvent}

H01L 51/0008 ・・・{using physical deposition, e.g. sublimation, sputtering}

H01L 51/0009 ・・・・{using laser ablation}

H01L 51/001 ・・・・{Vacuum deposition}

H01L 51/0011 ・・・・{selective deposition, e.g. using a mask}

H01L 51/0012 ・・・{special provisions for the orientation or alignment of the layer to be deposited}

H01L 51/0013 ・・・{using non liquid printing techniques, e.g. thermal transfer printing from a donor sheet}

H01L 51/0014 ・・{for changing the shape of the device layer, e.g. patterning}

H01L 51/0015 ・・・{by selective transformation of an existing layer}

H01L 51/0016 ・・・{lift off techniques}

H01L 51/0017 ・・・{etching of an existing layer}

H01L 51/0018 ・・・・{using photolithographic techniques}

H01L 51/0019 ・・・・{using printing techniques, e.g. applying the etch liquid using an ink jet printer}

H01L 51/002 ・・{Making n- or p-doped regions}

H01L 51/0021 ・・{Formation of conductors}

H01L 51/0022 ・・・{using printing techniques, e.g. ink jet printing}

H01L 51/0023 ・・・{Patterning of conductive layers}

H01L 51/0024 ・・{for forming devices by joining two substrates together, e.g. lamination technique}

H01L 51/0025 ・・{Purification process of the organic semiconductor material}

H01L 51/0026 ・・{Thermal treatment of the active layer, e.g. annealing}

H01L 51/0027 ・・・{using coherent electromagnetic radiation, e.g. laser annealing}

H01L 51/0028 ・・・{Thermal treatment in the presence of solvent vapors, e.g. solvent annealing}

H01L 51/0029 ・・{Special provisions for controlling the atmosphere during processing (H01L 51/0026 takes precedence)}

H01L 51/003 ・・{using a temporary substrate}

H01L 51/0031 ・・{Testing, e.g. accelerated lifetime tests of photoelectric devices}

H01L 51/0032 ・{Selection of organic semiconducting materials, e.g. organic light sensitive or organic light emitting materials}

  NOTE - This group only covers the selection of organic materials for their electrical or other properties insofar as they are specific for their use in devices covered by the group H01L 51/00.

  For the materials per se, see the relevant subclasses.

  Attention is drawn to the following places:
  - organic materials in general C07C, C07D, C07F, C08L;
  - organic materials as electrical conductors H01B 1/12;
  - organic materials as electrical insulators H01B 3/18

H01L 51/0034 ・・{Organic polymers or oligomers (organic macromolecular compounds or compositions per se C08)}

H01L 51/0035 ・・・{comprising aromatic, heteroaromatic, or arrylic chains, e.g. polyaniline (per se C08G 73/026), polyphenylene (per se C08G 61/10), polyphenylene vinylene (per se C08G 61/02)}

H01L 51/0036 ・・・・{Heteroaromatic compounds comprising sulfur or selene, e.g. polythiophene (per se C08G 61/126)}

H01L 51/0037 ・・・・・{Polyethylene dioxythiophene (PEDOT) and derivatives}

H01L 51/0038 ・・・・{Poly-phenylenevinylene and derivatives (per se C08G 61/10)}

H01L 51/0039 ・・・・{Polyeflurorene and derivatives}

H01L 51/004 ・・・{comprising aliphatic or olefinic chains, e.g. poly N-vinylcarbazol, PVC, PTFE}

H01L 51/0041 ・・・・{Poly acetylene (per se C08G 61/04, C08F 38/02, C08F 138/02, C08F 238/02) or derivatives}

H01L 51/0042 ・・・・{poly N-vinylcarbazol and derivatives}

H01L 51/0043 ・・・{Copolymers}

H01L 51/0044 ・・・{Ladder-type polymers}

H01L 51/0045 ・・{Carbon containing materials, e.g. carbon nanotubes, fullerenes (per se C01B 31/0206)}

H01L 51/0046 ・・・{Fullerenes, e.g. C60, C70}

H01L 51/0047 ・・・・{comprising substituents, e.g. PCBM}

H01L 51/0048 ・・・{Carbon nanotubes}

H01L 51/0049 ・・・・{comprising substituents}

H01L 51/005 ・・{Macromolecular systems with low molecular weight, e.g. cyanine dyes, coumarine dyes, tetrathiafulvalene (H01L 51/0045, H01L 51/0077, H01L 51/0093, H01L 51/30M16 take precedence)}

H01L 51/0051 ・・・{Charge transfer complexes}

H01L 51/0052 ・・・{Polycyclic condensed aromatic hydrocarbons, e.g. anthracene}

H01L 51/0053 ・・・・{Aromatic anhydride or imide compounds, e.g. perylene tetra-carboxylic dianhydride, perylene tetracarboxylic diimide}

H01L 51/0054 ・・・・{containing four rings, e.g. pyrene}

H01L 51/0055 ・・・・{containing five rings, e.g. pentacene}

H01L 51/0056 ・・・・{containing six or more rings}

H01L 51/0057 ・・・・{containing at least one aromatic ring having 7 or more carbon atoms, e.g. azulene}

H01L 51/0058 ・・・・{containing more than one polycyclic condensed aromatic rings, e.g. bis-anthracene}

H01L 51/0059 ・・・{Amine compounds having at least two aryl rest on at least one amine-nitrogen atom, e.g. triphenylamine (per se C07C 211/00)}

H01L 51/006 ・・・・{comprising polycyclic condensed aromatic hydrocarbons as substituents on the nitrogen atom}

H01L 51/0061 ・・・・{comprising heteroaromatic hydrocarbons as substituents on the nitrogen atom}

H01L 51/0062 ・・・{aromatic compounds comprising a hetero atom, e.g.: N,P,S}

H01L 51/0064 ・・・・{Cyanine Dyes}

H01L 51/0065 ・・・・{comprising only oxygen as heteroatom}

H01L 51/0067 ・・・・{comprising only nitrogen as heteroatom (H01L 51/0064 takes precedence)}

H01L 51/0068 ・・・・{comprising only sulfur as heteroatom}

H01L 51/0069 ・・・・{comprising two or more different heteroatoms per ring, e.g. S and N (H01L 51/0064 takes precedence)}

H01L 51/007 ・・・・・{oxadiazole compounds}

H01L 51/0071 ・・・・{Polycyclic condensed heteroaromatic hydrocarbons}

H01L 51/0072 ・・・・・{comprising only nitrogen in the heteroaromatic polycondensed ringsystem, e.g. phenanthroline, carbazole}

H01L 51/0073 ・・・・・{comprising only oxygen in the heteroaromatic polycondensed ringsystem, e.g. cumarine dyes}

H01L 51/0074 ・・・・・{comprising only sulfur in the heteroaromatic polycondensed ringsystem, e.g. benzothiophene}

H01L 51/0075 ・・{Langmuir Blodgett films (per se B05D 1/202)}

H01L 51/0076 ・・{Liquid crystalline materials (per se C09K 19/00)}

H01L 51/0077 ・・{Coordination compounds, e.g. porphyrin}

H01L 51/0078 ・・・{Phthalocyanine (per se C09B 47/04)}

H01L 51/0079 ・・・{Metal complexes comprising a IIIB-metal (B, Al, Ga, In or TI), e.g. Tris (8-hydroxyquinoline) gallium (Gaq3)}

H01L 51/008 ・・・・{comprising boron}

H01L 51/0081 ・・・・{comprising aluminium, e.g. Alq3}

H01L 51/0082 ・・・・{comprising gallium}

H01L 51/0083 ・・・{Metal complexes comprising an iron-series metal, e.g. Fe, Co, Ni}

H01L 51/0084 ・・・{Transition metal complexes, e.g. Ru(II)polypyridine complexes}

H01L 51/0085 ・・・・{comprising Iridium}

H01L 51/0086 ・・・・{comprising Ruthenium}

H01L 51/0087 ・・・・[N: comprising platinum

H01L 51/0088 ・・・・{comprising osmium}

H01L 51/0089 ・・・{Metal complexes comprising Lanthanides or Actinides, e.g. Eu}

H01L 51/009 ・・・{Polynuclear complexes, i.e. complexes having two or more metal centers}

H01L 51/0091 ・・・{Metal complexes comprising a IB-metal (Cu, Ag, Au)}

H01L 51/0092 ・・・{Metal complexes comprising a IIB-metal (Zn, Cd, Hg)}

H01L 51/0093 ・・{Biomolecules or bio-macromolecules, e.g. proteines, ATP, chlorophyl, beta-carotene, lipids, enzymes}

H01L 51/0094 ・・{Silicon-containing organic semiconductors}

H01L 51/0095 ・・{Starburst compounds}

H01L 51/0096 ・{Substrates}

H01L 51/0097 ・・{flexible substrates}

H01L 51/0098 ・{Molecular electronic devices (molecular computers G06F 15/80; molecular memories G11C 11/00, G11C 13/02)}

H01L 51/05 ・specially adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential- jump barrier or surface barrier {multistep processes for their manufacture}

H01L 51/0504 ・・{the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or swiched, e.g. three-terminal devices}

H01L 51/0508 ・・・{Field-effect devices, e.g. TFTs}

H01L 51/0512 ・・・・{insulated gate field effect transistors}

H01L 51/0516 ・・・・・{characterised by the gate dielectric}

H01L 51/052 ・・・・・・{the gate dielectric comprising only organic materials}

H01L 51/0525 ・・・・・・{the gate dielectric comprising only inorganic materials}

H01L 51/0529 ・・・・・・{the gate dielectric having a multilayered structure}

H01L 51/0533 ・・・・・・・{Combinations of organic and inorganic layers}

H01L 51/0537 ・・・・・・{the gate dielectric comprising composite materials, e.g. TiO2 particles in a polymer matrix}

H01L 51/0541 ・・・・・{Lateral single gate single channel transistors with non inverted structure, i.e. the organic semiconductor layer is formed before the gate electode}

H01L 51/0545 ・・・・・{Lateral single gate single channel transistors with inverted structure, i.e. the organic semiconductor layer is formed after the gate electrode}

H01L 51/055 ・・・・・{characterised by the gate conductor}

H01L 51/0554 ・・・・・・{the transistor having two or more gate electrodes}

H01L 51/0558 ・・・・・{characterised by the channel of the transistor}

H01L 51/0562 ・・・・・・{the channel comprising two or more active layers, e.g. forming pn - hetero junction}

H01L 51/0566 ・・・・・・{the channel comprising a composite layer, e.g. a mixture of donor and acceptor moieties, forming pn - bulk hetero junction}

H01L 51/057 ・・・・・{having a vertical structure, e.g. vertical carbon nanotube field effect transistors [CNT-FETs}]

H01L 51/0575 ・・{the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices}

H01L 51/0579 ・・・{Schottky diodes}

H01L 51/0583 ・・・{comprising an organic/organic junction, e.g. hetero-junction}

H01L 51/0587 ・・・{comprising an organic/inorganic hetero-junction, e.g. hetero-junction}

H01L 51/0591 ・・・{Bi-stable switching devices}

H01L 51/0595 ・・・{molecular electronic devices (molecular computers G06F 15/80; molecular memories G11C 11/00, G11C 13/02)}

H01L 51/10 ・・Details of devices

H01L 51/102 ・・・{Electrodes}

H01L 51/105 ・・・・{Ohmic contacts, e.g. source and drain electrodes}

H01L 51/107 ・・・{Passivation, containers, encapsulations}

H01L 51/42 ・specially adapted for sensing infra-red radiation, light, electro-magnetic radiation of shorter wavelength or corpuscular radiation and adapted for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation {using organic materials as the active part, or using a combination of organic materials with other material as the active part; Multistep processes for their manufacture}

H01L 51/4206 ・・{Metal-organic semiconductor-metal devices}

H01L 51/4213 ・・{Comprising organic semiconductor-inorganic semiconductor hetero-junctions (H01L 51/4253 takes precedence)}

H01L 51/422 ・・・{Majority carrier devices using sensitisation of widebandgap semiconductors, e.g. TiO2 (photoelectrochemical devices with a liquid or solid electrolyte H01G 9/20)}

H01L 51/4226 ・・・・{the wideband gap semiconductor comprising titanium oxide, e.g. TiO2}

H01L 51/4233 ・・・・{the wideband gap semiconductor comprising zinc oxide, e.g. ZnO}

H01L 51/424 ・・{comprising organic semiconductor-organic semiconductor hetero-junctions (H01L 51/4253 takes precedence)}

H01L 51/4246 ・・・{comprising multi-junctions, e.g. double hetero-junctions}

H01L 51/4253 ・・{comprising bulk hetero-junctions, e.g. interpenetrating networks}

H01L 51/426 ・・・{comprising inorganic nanostructures, e.g. CdSe nano particles}

H01L 51/4266 ・・・・{the inorganic nanostructures being nano-tubes or nano-wires, e.g. CdTe nano-tubes in P3HT}

H01L 51/4273 ・・・{comprising blocking layers, e.g. exciton blocking layers}

H01L 51/428 ・・{light sensitive field effect devices}

H01L 51/4286 ・・{Devices having a m-i-s structure}

H01L 51/4293 ・・{Devices having a p-i-n structure}

H01L 51/44 ・・Details of devices

H01L 51/441 ・・・{Electrodes}

H01L 51/442 ・・・・{transparent electrodes, e.g. ITO, TCO}

H01L 51/444 ・・・・・{comprising carbon nano-tubes}

H01L 51/445 ・・・・・{comprising arrangements for extracting the current from the cell, e.g. metal finger grid systems to reduce the serial resistance of transparent electrodes}

H01L 51/447 ・・・{Light trapping means}

H01L 51/448 ・・・{Passivation, containers, encapsulations}

H01L 51/50 ・specially adapted for light emission, e.g. organic light emitting diodes (OLED) or polymer light emitting devices (PLED); {Multistep processes for their manufacture}(organic semiconductor lasers H01S 5/36; {circuit arrangements for

  OLED or PLED H05B 33/0896; control arrangements for organic electroluminescent displays G09G 3/3208})

H01L 51/5004 ・・{characterised by the interrelation between parameters of constituting active layers, e.g. HOMO-LUMO relation}

H01L 51/5008 ・・{Intermediate layers comprising a mixture of materials of the adjoining active layers}

H01L 51/5012 ・・{Electroluminescent (EL) layer}

H01L 51/5016 ・・・{Triplet emission}

H01L 51/502 ・・・{comprising active inorganic nanostructures, e.g. luminescent quantum dots}

H01L 51/5024 ・・・{having a host comprising an emissive dopant and further additive materials, e.g. for improving the dispersability, for improving the stabilisation, for assisting energy transfer}

H01L 51/5028 ・・・・{for assisting energy transfer e.g. sensitization}

H01L 51/5032 ・・・{Light emitting electrochemical cells (LEC), i.e. with mobile ions in the active layer}

H01L 51/5036 ・・・{Multi-colour light emission, e.g. colour tuning, polymer blend, stack of electroluminescent layers}

H01L 51/504 ・・・・{Stack of electroluminescent layers}

H01L 51/5044 ・・・・・{with spacer layers between the emissive layers}

H01L 51/5048 ・・{Carrier transporting layer}

H01L 51/5052 ・・・{Doped transporting layer}

H01L 51/5056 ・・・{Hole transporting layer}

H01L 51/506 ・・・・{comprising a dopant}

H01L 51/5064 ・・・・{having a multilayered structure}

H01L 51/5068 ・・・・{arranged between the light emitting layer and the cathode}

H01L 51/5072 ・・・{Electron transporting layer}

H01L 51/5076 ・・・・{comprising a dopant}

H01L 51/508 ・・・・{having a multilayered structure}

H01L 51/5084 ・・・・{arranged between the light emitting layer and the anode}

H01L 51/5088 ・・{Carrier injection layer}

H01L 51/5092 ・・・{Electron injection layer}

H01L 51/5096 ・・{Carrier blocking layer}

H01L 51/52 ・・Details of devices

H01L 51/5203 ・・・{Electrodes}

H01L 51/5206 ・・・・{Anodes, i.e. with high work-function material}

  WARNING - H01L 51/5206 are not complete pending a reorganisation, see provisionally also this group

H01L 51/5209 ・・・・・{characterised by the shape}

H01L 51/5212 ・・・・・{combined with auxiliary electrode, e.g. ITO layer combined with metal lines}

H01L 51/5215 ・・・・・{composed of transparent multilayers}

H01L 51/5218 ・・・・・{Reflective anodes, e.g. ITO combined with thick metallic layer}

H01L 51/5221 ・・・・{Cathodes, i.e. with low work-function material}

  WARNING - H01L 51/5221 are not complete pending a reorganisation, see provisionally also this group

H01L 51/5225 ・・・・・{characterised by the shape}

H01L 51/5228 ・・・・・{combined with auxiliary electrodes}

H01L 51/5231 ・・・・・{composed of opaque multilayers}

H01L 51/5234 ・・・・・{Transparent, e.g. including thin metal film}

H01L 51/5237 ・・・{Passivation; Containers; Encapsulation, e.g. against humidity}

  WARNING - H01L 51/5237 are not complete pending a reorganisation, see provisionally also this group

H01L 51/524 ・・・・{Sealing arrangements having a self-supporting structure, e.g. containers}

H01L 51/5243 ・・・・・{the sealing arrangements being made of metallic material}

H01L 51/5246 ・・・・・{characterised by the peripheral sealing arrangements, e.g. adhesives, sealants}

H01L 51/525 ・・・・・{Vertical spacers, e.g. arranged between the sealing arrangement and the OLED}

H01L 51/5253 ・・・・{Protective coatings}

H01L 51/5256 ・・・・・{having repetitive multilayer structures}

H01L 51/5259 ・・・・{including getter material or desiccant}

H01L 51/5262 ・・・{Arrangements for extracting light from the device}

H01L 51/5265 ・・・・{comprising a resonant cavity structure, e.g. Bragg reflector pair}

H01L 51/5268 ・・・・{Scattering means}

H01L 51/5271 ・・・・{Reflective means}

H01L 51/5275 ・・・・{Refractive means, e.g. lens}

H01L 51/5278 ・・・・{comprising a repetitive electroluminescent unit between one set of electrodes}

H01L 51/5281 ・・・{Arrangements for contrast improvement, e.g. preventing reflection of ambient light}

H01L 51/5284 ・・・・{comprising a light absorbing layer, e.g. black layer}

H01L 51/5287 ・・・{OLED having a fiber structure}

H01L 51/529 ・・・{Arrangements for heating or cooling}

H01L 51/5293 ・・・{Arrangements for polarized light emission (H01L 51/5281 takes precedence)}

H01L 51/5296 ・・・{Light emitting organic transistors}

H01L 51/56 ・・Processes or apparatus specially adapted for the manufacture or treatment of such devices or of parts thereof

H01L 2021/00 Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof ({testing or measuring during manufacture or treatment, or reliability measurements H01L 22/00; multistep manufacturing processes for passive two-terminal components without a potential-jump or surface barrier for integrated circuits H01L 28/00;}(processes or apparatus peculiar to the manufacture or treatment of devices provided for in groups H01L 31/00 to H01L 51/00 or of parts thereof, see these groups; single-step processes covered by other subclasses, see the relevant subclasses, e.g. C23C, C30B; photomechanical production of textured or patterned surfaces, materials or originals therefor, apparatus specially adapted therefor, in general G03F))

H01L 2021/02 ・Manufacture or treatment of semiconductor devices or of parts thereof

H01L 2021/04 ・・the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer, carrier concentration layer {(multistep processes specially adapted for the manufacture of said devices H01L 29/66007, H01L 29/401; details of semiconductor bodies H01L 29/02)}

H01L 2021/18 ・・・the devices having semiconductor bodies comprising elements of the fourth group of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials {(H01L 21/041 to H01L 21/0425, H01L 21/045 to H01L 21/048 take precedence)}

  NOTE - This group covers also processes and apparatus which, by using the appropriate technology, are clearly suitable for manufacture or treatment of devices whose bodies comprise elements of the fourth group of the Periodic System or AIIIBV compounds, even if the material used is not explicitly specified.

H01L 2021/26 ・・・・Bombardment with radiation {(H01L 21/3105 takes precedence)}

H01L 2021/263 ・・・・・with high-energy radiation (H01L 21/261 takes precedence)

H01L 2021/265 ・・・・・・producing ion implantation (ion beam tubes for localised treatment H01J 37/30)

  WARNING - H01L 21/265C, H01L 21/2658 and H01L 21/26593 are not complete, see provisionally also H01L 21/26506 and H01L 21/2654 and their subgroups

H01L 2021/26573 ・・・・・・・in diamond

H01L 2021/28 ・・・・Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in H01L 21/20 to H01L 21/268; {etching for patterning the electrodes H01L 21/311 and H01L 21/3213}

H01L 2021/283 ・・・・・Deposition of conductive or insulating materials for electrodes {conducting electric current}

H01L 2021/285 ・・・・・・from a gas or vapour, e.g. condensation

H01L 2021/28506 ・・・・・・・{of conductive layers}

H01L 2021/28512 ・・・・・・・・{on semiconductor bodies comprising elements of the fourth group of the Periodic System}

H01L 2021/28543 ・・・・・・・・・on semiconductor bodies comprising diamond

H01L 2021/30 ・・・・Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L 21/20 to H01L 21/26(manufacture of electrodes thereon H01L 21/28)

H01L 2021/31 ・・・・・to form insulating layers thereon, e.g. for masking or by using photolithographic techniques (layers forming electrodes H01L 21/28; encapsulating layers H01L 21/56); After treatment of these layers

H01L 2021/314 ・・・・・・Inorganic layers (H01L 21/3105, H01L 21/32 take precedence)

  WARNING - This group and subgroups are no longer used for the classification of new documents as from May 1, 2011. The backlog of this group is being continuously reclassified to H01L 21/02107 and subgroups thereof

H01L 2021/3148 ・・・・・・・{Silicon Carbide layers}

H01L 2021/3149 ・・・・・・・・Langmuir-Blodgett techniques

H01L 2021/50 ・・・Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L 21/06 to H01L 21/326, {e.g. sealing of a cap to a base of a container}

  NOTE - Arrangements for connecting or disconnecting semiconductor or other solid state bodies, or methods related thereto, other than those arrangements or methods covered by the following subgroups, are covered by H01L 24/00

H01L 2021/60 ・・・・Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation

H01L 2021/60007 ・・・・・involving a soldering or an alloying process

H01L 2021/60015 ・・・・・・using plate connectors, e.g. layer, film

H01L 2021/60022 ・・・・・・using bump connectors, e.g. for flip chip mounting

H01L 2021/6003 ・・・・・・・Apparatus therefor

H01L 2021/60037 ・・・・・・・Right-up bonding

H01L 2021/60045 ・・・・・・・Pre-treatment step of the bump connectors prior to bonding

H01L 2021/60052 ・・・・・・・・Oxide removing step, e.g. flux, rosin

H01L 2021/6006 ・・・・・・・with temporary supporting member not part of an apparatus, e.g. removable coating, film or substrate

H01L 2021/60067 ・・・・・・・Aligning the bump connectors with the mounting substrate

H01L 2021/60075 ・・・・・・・・involving active alignment, i.e. by apparatus steering, e.g. using alignment marks, sensors

H01L 2021/60082 ・・・・・・・・involving passive alignment, e.g. using surface energy, chemical reactions, thermal equilibrium

H01L 2021/6009 ・・・・・・・・involving guiding structures, e.g. structures that are left at least partly in the bonded product, spacers

H01L 2021/60097 ・・・・・・・Applying energy, e.g. for the soldering or alloying process

H01L 2021/60105 ・・・・・・・・using electromagnetic radiation

H01L 2021/60112 ・・・・・・・・・Coherent radiation, i.e. laser beam

H01L 2021/6012 ・・・・・・・・・Incoherent radiation, e.g. polychromatic heating lamp

H01L 2021/60127 ・・・・・・・・・Induction heating, i.e. eddy currents

H01L 2021/60135 ・・・・・・・・using convection, e.g. reflow oven

H01L 2021/60142 ・・・・・・・・・with a graded temperature profile

H01L 2021/6015 ・・・・・・・・using conduction, e.g. chuck heater, thermocompression

H01L 2021/60157 ・・・・・・・・・with a graded temperature profile

H01L 2021/60165 ・・・・・・・・using an electron beam

H01L 2021/60172 ・・・・・・・・using static pressure

H01L 2021/6018 ・・・・・・・・・Unidirectional static pressure

H01L 2021/60187 ・・・・・・・・・Isostatic pressure, e.g. degassing using vacuum or pressurised liquid

H01L 2021/60195 ・・・・・・・・using dynamic pressure, e.g. ultrasonic or thermosonic bonding

H01L 2021/60202 ・・・・・・・・using a protective atmosphere, e.g. with forming or shielding gas

H01L 2021/6021 ・・・・・・・・using an autocatalytic reaction

H01L 2021/60217 ・・・・・・・Detaching bump connectors, e.g. after testing

H01L 2021/60225 ・・・・・・・Arrangement of bump connectors prior to mounting

H01L 2021/60232 ・・・・・・・・wherein the bump connectors are disposed only on the semiconductor chip

H01L 2021/6024 ・・・・・・・・wherein the bump connectors are disposed only on the mounting substrate

H01L 2021/60247 ・・・・・・・・wherein the bump connectors are disposed on both the semiconductor chip and the mounting substrate, e.g. bump to bump

H01L 2021/60255 ・・・・・・・・wherein the bump connectors are provided as prepeg, e.g. are provided in an insulating plate member

H01L 2021/60262 ・・・・・・・・Lateral distribution of bump connectors prior to mounting

H01L 2021/6027 ・・・・・・Mounting on semiconductor conductive members

H01L 2021/60277 ・・・・・involving the use of conductive adhesives

H01L 2021/60285 ・・・・・involving the use of mechanical auxiliary parts without the use of an alloying of soldering process, e.g. pressure contacts

H01L 2021/60292 ・・・・・involving the use of an electron or laser beam

H01L 2021/603 ・・・・・involving the application of pressure, e.g. thermo-compression bonding

H01L 2021/607 ・・・・・involving the application of mechanical vibrations, e.g. ultrasonic vibrations

H01L 2021/70 ・Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof ; Manufacture of integrated circuit devices or of parts thereof ({multistep manufacturing processes of assemblies consisting of a plurality of individual semiconductor or other solid state devices H01L 25/00}, manufacture of assemblies consisting or preformed electrical components H05K 3/00, H05K 13/00)

H01L 2021/77 ・・Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate

  NOTE - Integration processes for the manufacture of devices of the type classified in H01L 27/14 to H01L 27/32 are not classified in this group and its sub-groups.

  Instead, as they are peculiar to said devices, they are classified together with the devices Multistep processes for manufacturing memory structures in general using field effect technology are covered by H01L 27/105M;Multistep processes for manufacturing dynamic random access memory structures are covered by H01L 27/108M;Multistep processes for manufacturing static random access memory structures are covered by H01L 27/11;Multistep processes for manufacturing read-only memory structures are covered by H01L 27/112;Multistep processes for manufacturing electrically programmable read-only memory structures are covered by H01L 27/115

H01L 2021/775 ・・・comprising a plurality of TFTs on a non-semiconducting substrate, e.g. driving circuits for AMLCDs

H01L 2023/00 Details of semiconductor or other solid state devices (H01L 25/00 takes precedence; {structural arrangements for testing or measuring during manufacture or treatment, or for reliability measurements H01L 22/00; arrangements for connecting or disconnecting semiconductor or solid-state bodies, or methods related thereto H01L 24/00; finger print sensors G06K 9/00006})

  NOTE - This group does not cover:
  - details of semiconductor bodies or of electrodes of devices provided for in group H01L 29/00, which details are covered by that group;
  - details peculiar to devices provided for in a single main group of groups H01L 31/00 to H01L 51/00, which details are covered by those groups.

H01L 2023/34 ・Arrangements for cooling, heating, ventilating or temperature compensation; {Temperature sensing arrangements (thermal treatment apparatus H01L 21/00)}

H01L 2023/40 ・・Mountings or securing means for detachable cooling or heating arrangements {(heating H01L 23/345); fixed by friction, plugs or springs}

H01L 2023/4006 ・・・{with bolts or screws}

H01L 2023/4018 ・・・・characterised by the type of device to be heated or cooled

H01L 2023/4025 ・・・・・Base discrete devices, e.g. presspack, disc-type transistors

H01L 2023/4031 ・・・・・Packaged discrete devices, e.g. to-3 housings, diodes

H01L 2023/4037 ・・・・characterised by thermal path or place of attachment of heatsink

H01L 2023/4043 ・・・・・heatsink to have chip

H01L 2023/405 ・・・・・heatsink to package

H01L 2023/4056 ・・・・・heatsink to additional heatsink

H01L 2023/4062 ・・・・・heatsink to or through board or cabinet

H01L 2023/4068 ・・・・・Heatconductors between device and heatsink, e.g. compliant heat-spreaders, heat-conducting bands

H01L 2023/4075 ・・・・Mechanical elements

H01L 2023/4081 ・・・・・Compliant clamping elements not primarily serving heat-conduction

H01L 2023/4087 ・・・・・Mounting accessories, interposers, clamping or screwing parts

H01L 2027/00 Devices consisting of a plurality of semiconductor or other solid state components formed in or on a common substrate (processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof H01L 21/70, H01L 31/00 to H01L 51/00; details thereof H01L 23/00, H01L 29/00 to H01L 51/00; assemblies consisting of a plurality of individual solid state devices H01L 25/00; assemblies of electrical components in general H05K)

  NOTE - In this group, in the absence of an indication to the contrary, classification is made in the last appropriate place.

H01L 2027/02 ・including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier

H01L 2027/04 ・・the substrate being a semiconductor body

H01L 2027/10 ・・・including a plurality of individual components in a repetitive configuration

H01L 2027/118 ・・・・Masterslice integrated circuits

H01L 2027/11803 ・・・・・{using field effect technology}

H01L 2027/11805 ・・・・・・A3B5 or A3B6 gate arrays

H01L 2027/11807 ・・・・・・{CMOS gate arrays}

H01L 2027/11809 ・・・・・・・Micro-architecture

H01L 2027/11811 ・・・・・・・・Basic cell P to N transistor count

H01L 2027/11812 ・・・・・・・・・4-T CMOS basic cell

H01L 2027/11814 ・・・・・・・・・5-T CMOS basic cell

H01L 2027/11816 ・・・・・・・・・6-T CMOS basic cell

H01L 2027/11818 ・・・・・・・・・7-T CMOS basic cell

H01L 2027/1182 ・・・・・・・・・8-T CMOS basic cell

H01L 2027/11822 ・・・・・・・・relative P to N transistor sizes

H01L 2027/11824 ・・・・・・・・・for current drive capability

H01L 2027/11825 ・・・・・・・・・for delay time adaptation

H01L 2027/11827 ・・・・・・・・・for capacitive loading

H01L 2027/11829 ・・・・・・・・Isolation techniques

H01L 2027/11831 ・・・・・・・・・FET isolation

H01L 2027/11833 ・・・・・・・・・LOCOS

H01L 2027/11835 ・・・・・・・・Degree of specialisation for implementing specific functions

H01L 2027/11837 ・・・・・・・・・Implementation of digital circuits

H01L 2027/11838 ・・・・・・・・・・Implementation of memory functions

H01L 2027/1184 ・・・・・・・・・Implementation of analog circuits

H01L 2027/11842 ・・・・・・・・・・Resistors and capacitors

H01L 2027/11844 ・・・・・・・・・Hybrid analog or digital

H01L 2027/11846 ・・・・・・・・・Embedded IO cells

H01L 2027/11848 ・・・・・・・・・Transmission gate

H01L 2027/1185 ・・・・・・・・・Porous cells, i.e. pass-through elements

H01L 2027/11851 ・・・・・・・・Technology used, i.e. design rules

H01L 2027/11853 ・・・・・・・・・Sub-micron technology

H01L 2027/11855 ・・・・・・・・・Twin-tub technology

H01L 2027/11857 ・・・・・・・・・SOS, SOI technology

H01L 2027/11859 ・・・・・・・・Connectibility characteristics, i.e. diffusion and polysilicon geometries

H01L 2027/11861 ・・・・・・・・・Substrate and well contacts

H01L 2027/11862 ・・・・・・・・・Horizontal or vertical grid line density

H01L 2027/11864 ・・・・・・・・・Yield or reliability

H01L 2027/11866 ・・・・・・・・・Gate electrode terminals or contacts

H01L 2027/11868 ・・・・・・・Macro-architecture

H01L 2027/1187 ・・・・・・・・Number of core or basic cells in the macro (RAM, ROM)

H01L 2027/11872 ・・・・・・・・Distribution function, e.g. Sea of Gates

H01L 2027/11874 ・・・・・・・・Layout specification, i.e. inner core region

H01L 2027/11875 ・・・・・・・・・Wiring region, routing

H01L 2027/11877 ・・・・・・・・・Avoiding clock-skew or clock-delay

H01L 2027/11879 ・・・・・・・・・Data lines (buses)

H01L 2027/11881 ・・・・・・・・・Power supply lines

H01L 2027/11883 ・・・・・・・Levels of metallisation

H01L 2027/11885 ・・・・・・・・Two levels of metal

H01L 2027/11887 ・・・・・・・・Three levels of metal

H01L 2027/11888 ・・・・・・・・More than 3 levels of metal

H01L 2027/1189 ・・・・・・・Latch-up prevention

H01L 2027/11892 ・・・・・・・Noise prevention (crosstalk)

H01L 2027/11894 ・・・・・・・Radiation hardened circuits

H01L 2029/00 Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof; {Multistep manufacturing processes therefor}(H01L 31/00-H01L 47/00, H01L 51/05 take precedence; processes or apparatus adapted for the manufacture or treatment thereof or of parts thereof H01L 21/00; details other than of semiconductor bodies or of electrodes thereof H01L 23/00; devices consisting of a plurality of solid state components formed in or on a common substrate H01L 27/00; {passive two-terminal components without a potential-jump or surface barrier for integrated circuits, details thereof and multistep manufacturing processes therefor H01L 28/00;} resistors in general H01C; capacitors in general H01G, {e.g. ceramic barrier-layer capacitors H01G 4/1272})

  NOTE - In this main group, classification is made both in groups H01L 29/02 to H01L 29/51 and in groups H01L 29/66 to H01L 29/94 if both of these sets of groups are relevant.

H01L 2029/40 ・Electrodes; {Multistep manufacturing processes therefor}

H01L 2029/41 ・・characterised by their shape, relative sizes or dispositions

H01L 2029/423 ・・・not carrying the current to be rectified, amplified or switched

H01L 2029/42312 ・・・・{Gate electrodes for field effect devices}

H01L 2029/42316 ・・・・・{for field-effect transistors}

H01L 2029/4232 ・・・・・・{with insulated gate}

H01L 2029/42384 ・・・・・・・{for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor}

H01L 2029/42388 ・・・・・・・・characterised by the shape of the insulating material

H01L 2029/66 ・Types of semiconductor device; {Multistep manufacturing processes therefor}

H01L 2029/68 ・・controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched

H01L 2029/76 ・・・Unipolar devices, {e.g. field effect transistors}

H01L 2029/772 ・・・・Field effect transistors

H01L 2029/78 ・・・・・with field effect produced by an insulated gate {(H01L 29/7725, H01L 29/775, H01L 29/778 take precedence)}

H01L 2029/785 ・・・・・・{having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET}

H01L 2029/7857 ・・・・・・・of the accumulation type

H01L 2029/7858 ・・・・・・・having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts

H01L 2029/786 ・・・・・・Thin film transistors, {i.e. transistors with a channel being at least partly a thin film (transistors having only the source or the drain region on an insulator layer H01L 29/0653; thin film FinFETs H01L 29/785)}

H01L 2029/78606 ・・・・・・・{with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device (H01L 29/78642, H01L 29/78645 take precedence)}

H01L 2029/78618 ・・・・・・・・{characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure (silicide contacts, electrodes in general H01L 29/458)}

H01L 2029/78621 ・・・・・・・・・{with LDD structure or an extension or an offset region or characterised by the doping profile}

H01L 2029/7863 ・・・・・・・・・・with an LDD consisting of more than one lightly doped zone or having a non-homogeneous dopant distribution, e.g. graded LDD

H01L 2031/00 Semiconductor devices sensitive to infra-red radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus peculiar to the manufacture or treatment thereof or of parts thereof; Details thereof (H01L 51/42 takes precedence; devices consisting of a plurality of solid state components formed in, or on, a common substrate, other than combinations of radiation-sensitive components with one or more electric light sources, H01L 27/00; production of heat using solar heat F24J 2/00; measurement of X-radiation, gamma radiation, corpuscular radiation or cosmic radiation with semiconductor detectors G01T 1/24, with resistance detectors G01T 1/26; measurement of neutron radiation with semiconductor detectors G01T 3/08; couplings of light guides with optoelectronic elements G02B 6/42; obtaining energy from radioactive sources G21H)

H01L 2031/0248 ・characterised by their semiconductor bodies

H01L 2031/0256 ・・characterised by the material

H01L 2031/0344 ・・・Organic materials

H01L 2051/00 Solid state devices using organic materials as the active part, or using a combination of organic materials with other materials as the active part; Processes or apparatus specially adapted for the manufacture or treatment of such devices, or of parts thereof (devices consisting of a plurality of components formed in or on a common substrate H01L 27/28; thermoelectric devices using organic material H01L 35/00, H01L 37/00; piezoelectric, electrostrictive or magnetostrictive elements using organic material H01L 41/00)

H01L 2051/0032 ・{Selection of organic semiconducting materials, e.g. organic light sensitive or organic light emitting materials}

  NOTE - This group only covers the selection of organic materials for their electrical or other properties insofar as they are specific for their use in devices covered by the group H01L 51/00.

  For the materials per se, see the relevant subclasses.

  Attention is drawn to the following places:
  - organic materials in general C07C, C07D, C07F, C08L;
  - organic materials as electrical conductors H01B 1/12;
  - organic materials as electrical insulators H01B 3/18

H01L 2051/005 ・・{Macromolecular systems with low molecular weight, e.g. cyanine dyes, coumarine dyes, tetrathiafulvalene (H01L 51/0045, H01L 51/0077, H01L 51/0093, H01L 51/30M16 take precedence)}

H01L 2051/0062 ・・・{aromatic compounds comprising a hetero atom, e.g.: N,P,S}

H01L 2051/0063 ・・・・Oxadiazole Compounds

H01L 2221/00 Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L 21/00

H01L 2221/10 ・Applying interconnections to be used for carrying current between separate components within a device

H01L 2221/1005 ・・Formation and after-treatment of dielectrics

H01L 2221/101 ・・・Forming openings in dielectrics

H01L 2221/1015 ・・・・for dual damascene structures

H01L 2221/1021 ・・・・・Pre-forming the dual damascene structure in a resist layer

H01L 2221/1026 ・・・・・the via being formed by burying a sacrificial pillar in the dielectric and removing the pillar

H01L 2221/1031 ・・・・・Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric

H01L 2221/1036 ・・・・・Dual damascene with different via-level and trench-level dielectrics

H01L 2221/1042 ・・・the dielectric comprising air gaps

H01L 2221/1047 ・・・・the air gaps being formed by pores in the dielectric

H01L 2221/1052 ・・・Formation of thin functional dielectric layers

H01L 2221/1057 ・・・・in via holes or trenches

H01L 2221/1063 ・・・・・Sacrificial or temporary thin dielectric films in openings in a dielectric

H01L 2221/1068 ・・Formation and after-treatment of conductors

H01L 2221/1073 ・・・Barrier, adhesion or liner layers

H01L 2221/1078 ・・・・Multiple stacked thin films not being formed in openings in dielectrics

H01L 2221/1084 ・・・・Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers

H01L 2221/1089 ・・・・・Stacks of seed layers

H01L 2221/1094 ・・・Conducting structures comprising nanotubes or nanowires

H01L 2221/67 ・Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere

H01L 2221/683 ・・for supporting or gripping

H01L 2221/68304 ・・・using temporarily an auxiliary support

H01L 2221/68309 ・・・・Auxiliary support including alignment aids

H01L 2221/68313 ・・・・Auxiliary support including a cavity for storing a finished device, e.g. IC package, or a partly finished device, e.g. die, during manufacturing or mounting

H01L 2221/68318 ・・・・Auxiliary support including means facilitating the separation of a device or wafer from the auxiliary support

H01L 2221/68322 ・・・・・Auxiliary support including means facilitating the selective separation of some of a plurality of devices from the auxiliary support

H01L 2221/68327 ・・・・used during dicing or grinding

H01L 2221/68331 ・・・・・of passive members, e.g. die mounting substrate

H01L 2221/68336 ・・・・・involving stretching of the auxiliary support post dicing

H01L 2221/6834 ・・・・used to protect an active side of a device or wafer

H01L 2221/68345 ・・・・used as a support during the manufacture of self supporting substrates

H01L 2221/6835 ・・・・used as a support during build up manufacturing of active devices

H01L 2221/68354 ・・・・used to support diced chips prior to mounting

H01L 2221/68359 ・・・・used as a support during manufacture of interconnect decals or build up layers

H01L 2221/68363 ・・・・used in a transfer process involving transfer directly from an origin substrate to a target substrate without use of an intermediate handle substrate

H01L 2221/68368 ・・・・used in a transfer process involving at least two transfer steps, i.e. including an intermediate handle substrate

H01L 2221/68372 ・・・・used to support a device or wafer when forming electrical connections thereto (when forming bonding pads H01L 24/03; when forming bump connectors H01L 24/11; when forming layer connectors H01L 24/27)

H01L 2221/68377 ・・・・with parts of the auxiliary support remaining in the finished device

H01L 2221/68381 ・・・・Details of chemical or physical process used for separating the auxiliary support from a device or wafer

H01L 2221/68386 ・・・・・Separation by peeling

H01L 2221/6839 ・・・・・・using peeling wedge or knife or bar

H01L 2221/68395 ・・・・・・using peeling wheel

H01L 2223/00 Details relating to semiconductor or other solid state devices covered by the group H01L 23/00

H01L 2223/544 ・Marks applied to semiconductor devices or parts

H01L 2223/54406 ・・comprising alphanumeric information

H01L 2223/54413 ・・comprising digital information, e.g. bar codes, data matrix

H01L 2223/5442 ・・comprising non digital, non alphanumeric information, e.g. symbols

H01L 2223/54426 ・・for alignment

H01L 2223/54433 ・・containing identification or tracking information

H01L 2223/5444 ・・・for electrical read out

H01L 2223/54446 ・・・・Wireless electrical read out

H01L 2223/54453 ・・for use prior to dicing

H01L 2223/5446 ・・・Located in scribe lines

H01L 2223/54466 ・・・Located in a dummy or reference die

H01L 2223/54473 ・・for use after dicing

H01L 2223/5448 ・・・Located on chip prior to dicing and remaining on chip after dicing

H01L 2223/54486 ・・・Located on package parts, e.g. encapsulation, leads, package substrate

H01L 2223/54493 ・・Peripheral marks on wafers, e.g. orientation flats, notches, lot number

H01L 2223/58 ・Structural electrical arrangements for semiconductor devices not otherwise provided for

H01L 2223/64 ・・Impedance arrangements

H01L 2223/66 ・・・High-frequency adaptations

H01L 2223/6605 ・・・・High-frequency electrical connections

H01L 2223/6611 ・・・・・Wire connections

H01L 2223/6616 ・・・・・Vertical connections, e.g. vias

H01L 2223/6622 ・・・・・・Coaxial feed-throughs in active or passive substrates

H01L 2223/6627 ・・・・・Waveguides, e.g. microstrip line, strip line, coplanar line

H01L 2223/6633 ・・・・・・Transition between different waveguide types

H01L 2223/6638 ・・・・・Differential pair signal lines

H01L 2223/6644 ・・・・Packaging aspects of high-frequency amplifiers (amplifiers per se H03F)

H01L 2223/665 ・・・・・Bias feed arrangements

H01L 2223/6655 ・・・・・Matching arrangements, e.g. arrangement of inductive and capacitive components

H01L 2223/6661 ・・・・for passive devices (passive components per se H01L 28/00)

H01L 2223/6666 ・・・・・for decoupling, e.g. bypass capacitors

H01L 2223/6672 ・・・・・for integrated passive components, e.g. semiconductor device with passive components only (integrated circuits with passive components only per se H01L 27/01)

H01L 2223/6677 ・・・・・for antenna, e.g. antenna included within housing of semiconductor device (antennas per se H01Q)

H01L 2223/6683 ・・・・for monolithic microwave integrated circuit [MMIC]

H01L 2223/6688 ・・・・Mixed frequency adaptations, i.e. for operation at different frequencies

H01L 2223/6694 ・・・・Optical signal interface included within high-frequency semiconductor device housing

H01L 2224/00 Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24

H01L 2224/01 ・Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto

H01L 2224/02 ・・Bonding areas; Manufacturing methods related thereto

H01L 2224/0212 ・・・Auxiliary members for bonding areas, e.g. spacers

H01L 2224/02122 ・・・・being formed on the semiconductor or solid-state body

H01L 2224/02123 ・・・・・inside the bonding area

H01L 2224/02125 ・・・・・・Reinforcing structures

H01L 2224/02126 ・・・・・・・Collar structures

H01L 2224/0213 ・・・・・・Alignment aids

H01L 2224/02135 ・・・・・・Flow barrier

H01L 2224/0214 ・・・・・・Structure of the auxiliary member

H01L 2224/02141 ・・・・・・・Multilayer auxiliary member

H01L 2224/02145 ・・・・・・Shape of the auxiliary member

H01L 2224/0215 ・・・・・・Material of the auxiliary member

H01L 2224/02163 ・・・・・on the bonding area

H01L 2224/02165 ・・・・・・Reinforcing structures

H01L 2224/02166 ・・・・・・・Collar structures

H01L 2224/0217 ・・・・・・Alignment aids

H01L 2224/02175 ・・・・・・Flow barrier

H01L 2224/0218 ・・・・・・Structure of the auxiliary member

H01L 2224/02181 ・・・・・・・Multilayer auxiliary member

H01L 2224/02185 ・・・・・・Shape of the auxiliary member

H01L 2224/0219 ・・・・・・Material of the auxiliary member

H01L 2224/022 ・・・・・・Protective coating, i.e. protective bond-through coating

H01L 2224/02205 ・・・・・・・Structure of the protective coating

H01L 2224/02206 ・・・・・・・・Multilayer protective coating

H01L 2224/0221 ・・・・・・・Shape of the protective coating

H01L 2224/02215 ・・・・・・・Material of the protective coating

H01L 2224/02233 ・・・・・not in direct contact with the bonding area

H01L 2224/02235 ・・・・・・Reinforcing structures

H01L 2224/0224 ・・・・・・Alignment aids

H01L 2224/02245 ・・・・・・Flow barrier

H01L 2224/0225 ・・・・・・Structure of the auxiliary member

H01L 2224/02251 ・・・・・・・Multilayer auxiliary member

H01L 2224/02255 ・・・・・・Shape of the auxiliary member

H01L 2224/0226 ・・・・・・Material of the auxiliary member

H01L 2224/023 ・・・Redistribution layers [RDL] for bonding areas

H01L 2224/0231 ・・・・Manufacturing methods of the redistribution layers

H01L 2224/02311 ・・・・・Additive methods

H01L 2224/02313 ・・・・・Subtractive methods

H01L 2224/02315 ・・・・・Self-assembly processes

H01L 2224/02317 ・・・・・by local deposition

H01L 2224/02319 ・・・・・by using a preform

H01L 2224/02321 ・・・・・Reworking

H01L 2224/0233 ・・・・Structure of the redistribution layers

H01L 2224/02331 ・・・・・Multilayer structure

H01L 2224/02333 ・・・・・being a bump

H01L 2224/02335 ・・・・・Free-standing redistribution layers

H01L 2224/0235 ・・・・Shape of the redistribution layers

H01L 2224/02351 ・・・・・comprising interlocking features

H01L 2224/0236 ・・・・Shape of the insulating layers therebetween

H01L 2224/0237 ・・・・Disposition of the redistribution layers

H01L 2224/02371 ・・・・・connecting the bonding area on a surface of the semiconductor or solid-state body with another surface of the semiconductor or solid-state body

H01L 2224/02372 ・・・・・connecting to a via connection in the semiconductor or solid-state body

H01L 2224/02373 ・・・・・Layout of the redistribution layers

H01L 2224/02375 ・・・・・Top view

H01L 2224/02377 ・・・・・Fan-in arrangement

H01L 2224/02379 ・・・・・Fan-out arrangement

H01L 2224/02381 ・・・・・Side view

H01L 2224/0239 ・・・・Material of the redistribution layers

H01L 2224/024 ・・・・Material of the insulating layers therebetween

H01L 2224/03 ・・・Manufacturing methods

H01L 2224/03001 ・・・・Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate

H01L 2224/03002 ・・・・・for supporting the semiconductor or solid-state body

H01L 2224/03003 ・・・・・for holding or transferring a preform

H01L 2224/03005 ・・・・・for aligning the bonding area, e.g. marks, spacers

H01L 2224/03009 ・・・・・for protecting parts during manufacture

H01L 2224/03011 ・・・・Involving a permanent auxiliary member, i.e. a member which is left at least partly in the finished device, e.g. coating, dummy feature

H01L 2224/03013 ・・・・・for holding or confining the bonding area, e.g. solder flow barrier

H01L 2224/03015 ・・・・・for aligning the bonding area, e.g. marks, spacers

H01L 2224/03019 ・・・・・for protecting parts during the process

H01L 2224/031 ・・・・Manufacture and pre-treatment of the bonding area preform

H01L 2224/0311 ・・・・・Shaping

H01L 2224/0312 ・・・・・Applying permanent coating

H01L 2224/033 ・・・・by local deposition of the material of the bonding area

H01L 2224/0331 ・・・・・in liquid form

H01L 2224/03312 ・・・・・・Continuous flow, e.g. using a micro-syringe, a pump, a noozle or extrusion

H01L 2224/03318 ・・・・・・by dispensing droplets

H01L 2224/0332 ・・・・・・Screen printing, i.e. using a stencil

H01L 2224/0333 ・・・・・in solid form

H01L 2224/03332 ・・・・・・using a powder

H01L 2224/03334 ・・・・・・using a preform

H01L 2224/034 ・・・・by blanket deposition of the material of the bonding area

H01L 2224/0341 ・・・・・in liquid form

H01L 2224/03416 ・・・・・・Spin coating

H01L 2224/03418 ・・・・・・Spray coating

H01L 2224/0342 ・・・・・・Curtain coating

H01L 2224/03422 ・・・・・・by dipping, e.g. in a solder bath (hot-dipping C23C 2/00)

H01L 2224/03424 ・・・・・・Immersion coating, e.g. in a solder bath (immersion processes C23C 2/00)

H01L 2224/03426 ・・・・・・Chemical solution deposition [CSD], i.e. using a liquid precursor

H01L 2224/03428 ・・・・・・Wave coating

H01L 2224/0343 ・・・・・in solid form

H01L 2224/03436 ・・・・・・Lamination of a preform, e.g. foil, sheet or layer

H01L 2224/03438 ・・・・・・・the preform being at least partly pre-patterned

H01L 2224/0344 ・・・・・・・by transfer printing

H01L 2224/03442 ・・・・・・using a powder

H01L 2224/03444 ・・・・・in gaseous form

H01L 2224/0345 ・・・・・・Physical vapour deposition [PVD], e.g. evaporation, or sputtering

H01L 2224/03452 ・・・・・・Chemical vapour deposition [CVD], e.g. laser CVD

H01L 2224/0346 ・・・・・Plating

H01L 2224/03462 ・・・・・・Electroplating

H01L 2224/03464 ・・・・・・Electroless plating

H01L 2224/03466 ・・・・・Conformal deposition, i.e. blanket deposition of a conformal layer on a patterned surface

H01L 2224/0347 ・・・・using a lift-off mask

H01L 2224/03472 ・・・・・Profile of the lift-off mask

H01L 2224/03474 ・・・・・Multilayer masks

H01L 2224/0348 ・・・・・Permanent masks, i.e. masks left in the finished device, e.g. passivation layers

H01L 2224/035 ・・・・by chemical or physical modification of a pre-existing or pre-deposited material

H01L 2224/03502 ・・・・・Pre-existing or pre-deposited material

H01L 2224/03505 ・・・・・Sintering

H01L 2224/0351 ・・・・・Anodisation

H01L 2224/03515 ・・・・・Curing and solidification, e.g. of a photosensitive material

H01L 2224/0352 ・・・・・Self-assembly, e.g. self-agglomeration of the material in a fluid

H01L 2224/03522 ・・・・・・Auxiliary means therefor, e.g. for self-assembly activation

H01L 2224/03524 ・・・・・・with special adaptation of the surface of the body to be connected or of an auxiliary substrate, e.g. surface shape specially adapted for the self-assembly process

H01L 2224/0355 ・・・・・Selective modification

H01L 2224/03552 ・・・・・・using a laser or a focussed ion beam [FIB]

H01L 2224/03554 ・・・・・・・Stereolithography, i.e. solidification of a pattern defined by a laser trace in a photosensitive resin

H01L 2224/036 ・・・・by patterning a pre-deposited material (treatment of parts prior to assembly of the devices H01L 21/48)

H01L 2224/03602 ・・・・・Mechanical treatment, e.g. polishing, grinding

H01L 2224/0361 ・・・・・Physical or chemical etching

H01L 2224/03612 ・・・・・・by physical means only

H01L 2224/03614 ・・・・・・by chemical means only

H01L 2224/03616 ・・・・・・Chemical mechanical polishing [CMP]

H01L 2224/03618 ・・・・・with selective exposure, development and removal of a photosensitive material, e.g. of a photosensitive conductive resin

H01L 2224/0362 ・・・・・・Photolithography

H01L 2224/03622 ・・・・・using masks

H01L 2224/0363 ・・・・・using a laser or a focused ion beam [FIB]

H01L 2224/03632 ・・・・・・Ablation by means of a laser or focused ion beam [FIB]

H01L 2224/037 ・・・・involving monitoring, e.g. feedback loop

H01L 2224/038 ・・・・Post-treatment of the bonding area

H01L 2224/0381 ・・・・・Cleaning, e.g. oxide removal step, desmearing

H01L 2224/0382 ・・・・・Applying permanent coating, e.g. in-situ coating

H01L 2224/03821 ・・・・・・Spray coating

H01L 2224/03822 ・・・・・・by dipping, e.g. in a solder bath

H01L 2224/03823 ・・・・・・Immersion coating, e.g. in a solder bath

H01L 2224/03824 ・・・・・・Chemical solution deposition [CSD], i.e. using a liquid precursor

H01L 2224/03825 ・・・・・・Plating, e.g. electroplating, electroless plating

H01L 2224/03826 ・・・・・・Physical vapour deposition [PVD], e.g. evaporation, or sputtering

H01L 2224/03827 ・・・・・・Chemical vapour deposition [CVD], e.g. laser CVD

H01L 2224/03828 ・・・・・Applying flux

H01L 2224/03829 ・・・・・Applying a precursor material

H01L 2224/0383 ・・・・・Reworking, e.g. shaping (reflowing H01L 2224/03849)

H01L 2224/03831 ・・・・・・involving a chemical process, e.g. etching the bonding area

H01L 2224/0384 ・・・・・・involving a mechanical process, e.g. planarising the bonding area

H01L 2224/03845 ・・・・・・Chemical mechanical polishing [CMP]

H01L 2224/03848 ・・・・・Thermal treatments, e.g. annealing, controlled cooling

H01L 2224/03849 ・・・・・・Reflowing

H01L 2224/039 ・・・・Methods of manufacturing bonding areas involving a specific sequence of method steps

H01L 2224/03901 ・・・・・with repetition of the same manufacturing step

H01L 2224/03902 ・・・・・・Multiple masking steps

H01L 2224/03903 ・・・・・・・using different masks

H01L 2224/03906 ・・・・・・・with modification of the same mask

H01L 2224/0391 ・・・・・Forming a passivation layer after forming the bonding area

H01L 2224/03912 ・・・・・the bump being used as a mask for patterning the bonding area

H01L 2224/03914 ・・・・・the bonding area, e.g. under bump metallisation [UBM], being used as a mask for patterning other parts

H01L 2224/03916 ・・・・・a passivation layer being used as a mask for patterning the bonding area

H01L 2224/0392 ・・・・・specifically adapted to include a probing step

H01L 2224/03921 ・・・・・・by repairing the bonding area damaged by the probing step

H01L 2224/04 ・・・Structure, shape, material or disposition of the bonding areas prior to the connecting process

H01L 2224/0401 ・・・・Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]

H01L 2224/04026 ・・・・Bonding areas specifically adapted for layer connectors

H01L 2224/04034 ・・・・Bonding areas specifically adapted for strap connectors

H01L 2224/04042 ・・・・Bonding areas specifically adapted for wire connectors, e.g. wirebond pads

H01L 2224/0405 ・・・・Bonding areas specifically adapted for tape automated bonding [TAB] connectors

H01L 2224/04073 ・・・・Bonding areas specifically adapted for connectors of different types

H01L 2224/04105 ・・・・Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages

H01L 2224/05 ・・・・of an individual bonding area

H01L 2224/05001 ・・・・・Internal layers

H01L 2224/05005 ・・・・・・Structure

H01L 2224/05006 ・・・・・・・Dual damascene structure

H01L 2224/05007 ・・・・・・・comprising a core and a coating

H01L 2224/05008 ・・・・・・・Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body, e.g.

H01L 2224/05009 ・・・・・・・Bonding area integrally formed with a via connection of the semiconductor or solid-state body

H01L 2224/0501 ・・・・・・Shape

H01L 2224/05011 ・・・・・・・comprising apertures or cavities

H01L 2224/05012 ・・・・・・・in top view

H01L 2224/05013 ・・・・・・・・being rectangular

H01L 2224/05014 ・・・・・・・・being square

H01L 2224/05015 ・・・・・・・・being circular or elliptic

H01L 2224/05016 ・・・・・・・in side view

H01L 2224/05017 ・・・・・・・・comprising protrusions or indentations

H01L 2224/05018 ・・・・・・・・being a conformal layer on a patterned surface

H01L 2224/05019 ・・・・・・・・being a non conformal layer on a patterned surface

H01L 2224/0502 ・・・・・・Disposition

H01L 2224/05022 ・・・・・・・the internal layer being at least partially embedded in the surface

H01L 2224/05023 ・・・・・・・the whole internal layer protruding from the surface

H01L 2224/05024 ・・・・・・・the internal layer being disposed on a redistribution layer on the semiconductor or solid-state body

H01L 2224/05025 ・・・・・・・the internal layer being disposed on a via connection of the semiconductor or solid-state body

H01L 2224/05026 ・・・・・・・the internal layer being disposed in a recess of the surface

H01L 2224/05027 ・・・・・・・・the internal layer extending out of an opening

H01L 2224/05073 ・・・・・・Single internal layer

H01L 2224/05075 ・・・・・・Plural internal layers

H01L 2224/05076 ・・・・・・・being mutually engaged together, e.g. through inserts

H01L 2224/05078 ・・・・・・・being disposed next to each other, e.g. side-to-side arrangements

H01L 2224/0508 ・・・・・・・being stacked

H01L 2224/05082 ・・・・・・・・Two-layer arrangements

H01L 2224/05083 ・・・・・・・・Three-layer arrangements

H01L 2224/05084 ・・・・・・・・Four-layer arrangements

H01L 2224/05085 ・・・・・・・・with additional elements, e.g. vias arrays, interposed between the stacked layers

H01L 2224/05086 ・・・・・・・・・Structure of the additional element

H01L 2224/05087 ・・・・・・・・・・being a via with at least a lining layer

H01L 2224/05088 ・・・・・・・・・Shape of the additional element

H01L 2224/05089 ・・・・・・・・・Disposition of the additional element

H01L 2224/0509 ・・・・・・・・・・of a single via

H01L 2224/05091 ・・・・・・・・・・・at the center of the internal layers

H01L 2224/05092 ・・・・・・・・・・・at the periphery of the internal layers

H01L 2224/05093 ・・・・・・・・・・of a plurality of vias

H01L 2224/05094 ・・・・・・・・・・・at the center of the internal layers

H01L 2224/05095 ・・・・・・・・・・・at the periphery of the internal layers

H01L 2224/05096 ・・・・・・・・・・・Uniform arrangement, i.e. array

H01L 2224/05097 ・・・・・・・・・・・Random arrangement

H01L 2224/05098 ・・・・・・・・・Material of the additional element

H01L 2224/05099 ・・・・・・Material

H01L 2224/051 ・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/05101 ・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/05105 ・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/05109 ・・・・・・・・・Indium [In] as principal constituent

H01L 2224/05111 ・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/05113 ・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/05114 ・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/05116 ・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/05117 ・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/05118 ・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/0512 ・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/05123 ・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/05124 ・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/05138 ・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/05139 ・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/05144 ・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/05147 ・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/05149 ・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/05155 ・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/05157 ・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/0516 ・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/05163 ・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/05164 ・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/05166 ・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/05169 ・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/0517 ・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/05171 ・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/05172 ・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/05173 ・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/05176 ・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/05178 ・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/05179 ・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/0518 ・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/05181 ・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/05183 ・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/05184 ・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/05186 ・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/05187 ・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/05188)

H01L 2224/05188 ・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/0519 ・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/05191 ・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/05193 ・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/051 to H01L 2224/05191, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/05194 ・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/051 to H01L 2224/05191

H01L 2224/05195 ・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/051 to H01L 2224/05191

H01L 2224/05198 ・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/05199 ・・・・・・・・Material of the matrix

H01L 2224/052 ・・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/05201 ・・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/05205 ・・・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/05209 ・・・・・・・・・・・Indium [In] as principal constituent

H01L 2224/05211 ・・・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/05213 ・・・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/05214 ・・・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/05216 ・・・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/05217 ・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/05218 ・・・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/0522 ・・・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/05223 ・・・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/05224 ・・・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/05238 ・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/05239 ・・・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/05244 ・・・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/05247 ・・・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/05249 ・・・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/05255 ・・・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/05257 ・・・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/0526 ・・・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/05263 ・・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/05264 ・・・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/05266 ・・・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/05269 ・・・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/0527 ・・・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/05271 ・・・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/05272 ・・・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/05273 ・・・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/05276 ・・・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/05278 ・・・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/05279 ・・・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/0528 ・・・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/05281 ・・・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/05283 ・・・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/05284 ・・・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/05286 ・・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/05287 ・・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/05288)

H01L 2224/05288 ・・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/0529 ・・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/05291 ・・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/05293 ・・・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/052 to H01L 2224/05291, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/05294 ・・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/052 to H01L 2224/05291

H01L 2224/05295 ・・・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/052 to H01L 2224/05291

H01L 2224/05298 ・・・・・・・・Fillers

H01L 2224/05299 ・・・・・・・・・Base material

H01L 2224/053 ・・・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/05301 ・・・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/05305 ・・・・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/05309 ・・・・・・・・・・・・Indium [In] as principal constituent

H01L 2224/05311 ・・・・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/05313 ・・・・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/05314 ・・・・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/05316 ・・・・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/05317 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/05318 ・・・・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/0532 ・・・・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/05323 ・・・・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/05324 ・・・・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/05338 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/05339 ・・・・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/05344 ・・・・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/05347 ・・・・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/05349 ・・・・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/05355 ・・・・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/05357 ・・・・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/0536 ・・・・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/05363 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/05364 ・・・・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/05366 ・・・・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/05369 ・・・・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/0537 ・・・・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/05371 ・・・・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/05372 ・・・・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/05373 ・・・・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/05376 ・・・・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/05378 ・・・・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/05379 ・・・・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/0538 ・・・・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/05381 ・・・・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/05383 ・・・・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/05384 ・・・・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/05386 ・・・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/05387 ・・・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/05388)

H01L 2224/05388 ・・・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/0539 ・・・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/05391 ・・・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/05393 ・・・・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/053 to H01L 2224/05391, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/05394 ・・・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/053 to H01L 2224/05391

H01L 2224/05395 ・・・・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/053 to H01L 2224/05391

H01L 2224/05398 ・・・・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/05399 ・・・・・・・・・Coating material

H01L 2224/054 ・・・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/05401 ・・・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/05405 ・・・・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/05409 ・・・・・・・・・・・・Indium [In] as principal constituent

H01L 2224/05411 ・・・・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/05413 ・・・・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/05414 ・・・・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/05416 ・・・・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/05417 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/05418 ・・・・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/0542 ・・・・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/05423 ・・・・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/05424 ・・・・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/05438 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/05439 ・・・・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/05444 ・・・・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/05447 ・・・・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/05449 ・・・・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/05455 ・・・・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/05457 ・・・・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/0546 ・・・・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/05463 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/05464 ・・・・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/05466 ・・・・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/05469 ・・・・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/0547 ・・・・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/05471 ・・・・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/05472 ・・・・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/05473 ・・・・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/05476 ・・・・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/05478 ・・・・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/05479 ・・・・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/0548 ・・・・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/05481 ・・・・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/05483 ・・・・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/05484 ・・・・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/05486 ・・・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/05487 ・・・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/05488)

H01L 2224/05488 ・・・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/0549 ・・・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/05491 ・・・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/05493 ・・・・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/054 to H01L 2224/05491, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/05494 ・・・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/054 to H01L 2224/05491

H01L 2224/05495 ・・・・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/054 to H01L 2224/05491

H01L 2224/05498 ・・・・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/05499 ・・・・・・・・・Shape or distribution of the fillers

H01L 2224/0554 ・・・・・External layer

H01L 2224/05541 ・・・・・・Structure

H01L 2224/05546 ・・・・・・・Dual damascene structure

H01L 2224/05547 ・・・・・・・comprising a core and a coating

H01L 2224/05548 ・・・・・・・Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body

H01L 2224/0555 ・・・・・・Shape

H01L 2224/05551 ・・・・・・・comprising apertures or cavities

H01L 2224/05552 ・・・・・・・in top view

H01L 2224/05553 ・・・・・・・・being rectangular

H01L 2224/05554 ・・・・・・・・being square

H01L 2224/05555 ・・・・・・・・being circular or elliptic

H01L 2224/05556 ・・・・・・・in side view

H01L 2224/05557 ・・・・・・・・comprising protrusions or indentations

H01L 2224/05558 ・・・・・・・・conformal layer on a patterned surface

H01L 2224/05559 ・・・・・・・・non conformal layer on a patterned surface

H01L 2224/0556 ・・・・・・Disposition

H01L 2224/05561 ・・・・・・・On the entire surface of the internal layer

H01L 2224/05562 ・・・・・・・On the entire exposed surface of the internal layer

H01L 2224/05563 ・・・・・・・Only on parts of the surface of the internal layer

H01L 2224/05564 ・・・・・・・・Only on the bonding interface of the bonding area

H01L 2224/05565 ・・・・・・・・Only outside the bonding interface of the bonding area

H01L 2224/05566 ・・・・・・・・Both on and outside the bonding interface of the bonding area

H01L 2224/05567 ・・・・・・・the external layer being at least partially embedded in the surface

H01L 2224/05568 ・・・・・・・the whole external layer protruding from the surface

H01L 2224/05569 ・・・・・・・the external layer being disposed on a redistribution layer on the semiconductor or solid-state body

H01L 2224/0557 ・・・・・・・the external layer being disposed on a via connection of the semiconductor or solid-state body

H01L 2224/05571 ・・・・・・・the external layer being disposed in a recess of the surface

H01L 2224/05572 ・・・・・・・・the external layer extending out of an opening

H01L 2224/05573 ・・・・・・Single external layer

H01L 2224/05575 ・・・・・・Plural external layers

H01L 2224/05576 ・・・・・・・being mutually engaged together, e.g. through inserts

H01L 2224/05578 ・・・・・・・being disposed next to each other, e.g. side-to-side arrangements

H01L 2224/0558 ・・・・・・・being stacked

H01L 2224/05582 ・・・・・・・・Two-layer coating

H01L 2224/05583 ・・・・・・・・Three-layer coating

H01L 2224/05584 ・・・・・・・・Four-layer coating

H01L 2224/05599 ・・・・・・Material

H01L 2224/056 ・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/05601 ・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/05605 ・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/05609 ・・・・・・・・・Indium [In] as principal constituent

H01L 2224/05611 ・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/05613 ・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/05614 ・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/05616 ・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/05617 ・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/05618 ・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/0562 ・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/05623 ・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/05624 ・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/05638 ・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/05639 ・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/05644 ・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/05647 ・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/05649 ・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/05655 ・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/05657 ・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/0566 ・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/05663 ・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/05664 ・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/05666 ・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/05669 ・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/0567 ・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/05671 ・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/05672 ・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/05673 ・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/05676 ・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/05678 ・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/05679 ・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/0568 ・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/05681 ・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/05683 ・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/05684 ・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/05686 ・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/05687 ・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/05688)

H01L 2224/05688 ・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/0569 ・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/05691 ・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/05693 ・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/056 to H01L 2224/05691, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/05694 ・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/056 to H01L 2224/05691

H01L 2224/05695 ・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/056 to H01L 2224/05691

H01L 2224/05698 ・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/05699 ・・・・・・・・Material of the matrix

H01L 2224/057 ・・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/05701 ・・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/05705 ・・・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/05709 ・・・・・・・・・・・Indium [In] as principal constituent

H01L 2224/05711 ・・・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/05713 ・・・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/05714 ・・・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/05716 ・・・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/05717 ・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/05718 ・・・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/0572 ・・・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/05723 ・・・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/05724 ・・・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/05738 ・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/05739 ・・・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/05744 ・・・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/05747 ・・・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/05749 ・・・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/05755 ・・・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/05757 ・・・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/0576 ・・・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/05763 ・・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/05764 ・・・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/05766 ・・・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/05769 ・・・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/0577 ・・・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/05771 ・・・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/05772 ・・・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/05773 ・・・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/05776 ・・・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/05778 ・・・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/05779 ・・・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/0578 ・・・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/05781 ・・・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/05783 ・・・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/05784 ・・・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/05786 ・・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/05787 ・・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/05788)

H01L 2224/05788 ・・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/0579 ・・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/05791 ・・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/05793 ・・・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/057 to H01L 2224/05791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/05794 ・・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/057 to H01L 2224/05791

H01L 2224/05795 ・・・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/057 to H01L 2224/05791

H01L 2224/05798 ・・・・・・・・Fillers

H01L 2224/05799 ・・・・・・・・・Base material

H01L 2224/058 ・・・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/05801 ・・・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/05805 ・・・・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/05809 ・・・・・・・・・・・・Indium [In] as principal constituent

H01L 2224/05811 ・・・・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/05813 ・・・・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/05814 ・・・・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/05816 ・・・・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/05817 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/05818 ・・・・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/0582 ・・・・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/05823 ・・・・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/05824 ・・・・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/05838 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/05839 ・・・・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/05844 ・・・・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/05847 ・・・・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/05849 ・・・・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/05855 ・・・・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/05857 ・・・・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/0586 ・・・・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/05863 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/05864 ・・・・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/05866 ・・・・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/05869 ・・・・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/0587 ・・・・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/05871 ・・・・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/05872 ・・・・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/05873 ・・・・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/05876 ・・・・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/05878 ・・・・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/05879 ・・・・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/0588 ・・・・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/05881 ・・・・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/05883 ・・・・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/05884 ・・・・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/05886 ・・・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/05887 ・・・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/05888)

H01L 2224/05888 ・・・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/0589 ・・・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/05891 ・・・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/05893 ・・・・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/058 to H01L 2224/05891, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/05894 ・・・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/058 to H01L 2224/05891

H01L 2224/05895 ・・・・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/058 to H01L 2224/05891

H01L 2224/05898 ・・・・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/05899 ・・・・・・・・・Coating material

H01L 2224/059 ・・・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/05901 ・・・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/05905 ・・・・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/05909 ・・・・・・・・・・・・Indium [In] as principal constituent

H01L 2224/05911 ・・・・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/05913 ・・・・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/05914 ・・・・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/05916 ・・・・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/05917 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/05918 ・・・・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/0592 ・・・・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/05923 ・・・・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/05924 ・・・・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/05938 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950?C and less than 1550?C

H01L 2224/05939 ・・・・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/05944 ・・・・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/05947 ・・・・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/05949 ・・・・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/05955 ・・・・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/05957 ・・・・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/0596 ・・・・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/05963 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/05964 ・・・・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/05966 ・・・・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/05969 ・・・・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/0597 ・・・・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/05971 ・・・・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/05972 ・・・・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/05973 ・・・・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/05976 ・・・・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/05978 ・・・・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/05979 ・・・・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/0598 ・・・・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/05981 ・・・・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/05983 ・・・・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/05984 ・・・・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/05986 ・・・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/05987 ・・・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/05988)

H01L 2224/05988 ・・・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/0599 ・・・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/05991 ・・・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/05993 ・・・・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/059 to H01L 2224/05991, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/05994 ・・・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/059 to H01L 2224/05991

H01L 2224/05995 ・・・・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/059 to H01L 2224/05991

H01L 2224/05998 ・・・・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/05999 ・・・・・・・・・Shape or distribution of the fillers

H01L 2224/06 ・・・・of a plurality of bonding areas

H01L 2224/0601 ・・・・・Structure

H01L 2224/0603 ・・・・・・Bonding areas having different sizes, e.g. different heights or widths

H01L 2224/0605 ・・・・・Shape

H01L 2224/06051 ・・・・・・Bonding areas having different shapes

H01L 2224/061 ・・・・・Disposition

H01L 2224/06102 ・・・・・・the bonding areas being at different heights

H01L 2224/0612 ・・・・・・Layout

H01L 2224/0613 ・・・・・・・Square or rectangular array

H01L 2224/06131 ・・・・・・・・being uniform, i.e. having a uniform pitch across the array

H01L 2224/06132 ・・・・・・・・being non uniform, i.e. having a non uniform pitch across the array

H01L 2224/06133 ・・・・・・・・with a staggered arrangement, e.g. depopulated array

H01L 2224/06134 ・・・・・・・・covering only portions of the surface to be connected

H01L 2224/06135 ・・・・・・・・・Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements

H01L 2224/06136 ・・・・・・・・・Covering only the central area of the surface to be connected, i.e. central arrangements

H01L 2224/06137 ・・・・・・・・with specially adapted redistribution layers [RDL]

H01L 2224/06138 ・・・・・・・・・being disposed in a single wiring level, i.e. planar layout

H01L 2224/06139 ・・・・・・・・・being disposed in different wiring levels, i.e. resurf layout

H01L 2224/0614 ・・・・・・・Circular array, i.e. array with radial symmetry

H01L 2224/06141 ・・・・・・・・being uniform, i.e. having a uniform pitch across the array

H01L 2224/06142 ・・・・・・・・being non uniform, i.e. having a non uniform pitch across the array

H01L 2224/06143 ・・・・・・・・with a staggered arrangement, e.g. depopulated array

H01L 2224/06144 ・・・・・・・・covering only portions of the surface to be connected

H01L 2224/06145 ・・・・・・・・・Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements

H01L 2224/06146 ・・・・・・・・・Covering only the central area of the surface to be connected, i.e. central arrangements

H01L 2224/06147 ・・・・・・・・with specially adapted redistribution layers [RDL]

H01L 2224/06148 ・・・・・・・・・being disposed in a single wiring level, i.e. planar layout

H01L 2224/06149 ・・・・・・・・・being disposed in different wiring levels, i.e. resurf layout

H01L 2224/0615 ・・・・・・・Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry

H01L 2224/06151 ・・・・・・・・being uniform, i.e. having a uniform pitch across the array

H01L 2224/06152 ・・・・・・・・being non uniform, i.e. having a non uniform pitch across the array

H01L 2224/06153 ・・・・・・・・with a staggered arrangement, e.g. depopulated array

H01L 2224/06154 ・・・・・・・・covering only portions of the surface to be connected

H01L 2224/06155 ・・・・・・・・・Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements

H01L 2224/06156 ・・・・・・・・・Covering only the central area of the surface to be connected, i.e. central arrangements

H01L 2224/06157 ・・・・・・・・with specially adapted redistribution layers [RDL]

H01L 2224/06158 ・・・・・・・・・being disposed in a single wiring level, i.e. planar layout

H01L 2224/06159 ・・・・・・・・・being disposed in different wiring levels, i.e. resurf layout

H01L 2224/0616 ・・・・・・・Random array, i.e. array with no symmetry

H01L 2224/06163 ・・・・・・・・with a staggered arrangement

H01L 2224/06164 ・・・・・・・・covering only portions of the surface to be connected

H01L 2224/06165 ・・・・・・・・・Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements

H01L 2224/06166 ・・・・・・・・・Covering only the central area of the surface to be connected, i.e. central arrangements

H01L 2224/06167 ・・・・・・・・with specially adapted redistribution layers [RDL]

H01L 2224/06168 ・・・・・・・・・being disposed in a single wiring level, i.e. planar layout

H01L 2224/06169 ・・・・・・・・・being disposed in different wiring levels, i.e. resurf layout

H01L 2224/06177 ・・・・・・・Combinations of arrays with different layouts

H01L 2224/06179 ・・・・・・・Corner adaptations, i.e. disposition of the bonding areas at the corners of the semiconductor or solid-state body

H01L 2224/0618 ・・・・・・being disposed on at least two different sides of the body, e.g. dual array

H01L 2224/06181 ・・・・・・・On opposite sides of the body

H01L 2224/06182 ・・・・・・・・with specially adapted redistribution layers [RDL]

H01L 2224/06183 ・・・・・・・On contiguous sides of the body

H01L 2224/06187 ・・・・・・・・with specially adapted redistribution layers [RDL]

H01L 2224/06188 ・・・・・・・・・being disposed in a single wiring level, i.e. planar layout

H01L 2224/06189 ・・・・・・・・・being disposed in different wiring levels, i.e. resurf layout

H01L 2224/065 ・・・・・Material

H01L 2224/06505 ・・・・・・Bonding areas having different materials

H01L 2224/0651 ・・・・・Function

H01L 2224/06515 ・・・・・・Bonding areas having different functions

H01L 2224/06517 ・・・・・・・including bonding areas providing primarily mechanical bonding

H01L 2224/06519 ・・・・・・・including bonding areas providing primarily thermal dissipation

H01L 2224/07 ・・・Structure, shape, material or disposition of the bonding areas after the connecting process

H01L 2224/08 ・・・・of an individual bonding area

H01L 2224/0801 ・・・・・Structure

H01L 2224/0805 ・・・・・Shape

H01L 2224/08052 ・・・・・・in top view

H01L 2224/08053 ・・・・・・・being non uniform along the bonding area

H01L 2224/08054 ・・・・・・・being rectangular

H01L 2224/08055 ・・・・・・・being square

H01L 2224/08056 ・・・・・・・being circular or elliptic

H01L 2224/08057 ・・・・・・in side view

H01L 2224/08058 ・・・・・・・being non uniform along the bonding area

H01L 2224/08059 ・・・・・・・comprising protrusions or indentations

H01L 2224/0807 ・・・・・・of bonding interfaces, e.g. interlocking features

H01L 2224/081 ・・・・・Disposition

H01L 2224/08111 ・・・・・・the bonding area being disposed in a recess of the surface of the body (embedded bonding area T01L 224/08122)

H01L 2224/08112 ・・・・・・the bonding area being at least partially embedded in the surface of the body

H01L 2224/08113 ・・・・・・the whole bonding area protruding from the surface of the body

H01L 2224/0812 ・・・・・・the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding

H01L 2224/08121 ・・・・・・・the connected bonding areas being not aligned with respect to each other

H01L 2224/08123 ・・・・・・・the bonding area connecting directly to at least two bonding areas

H01L 2224/08135 ・・・・・・・the bonding area connecting between different semiconductor or solid-state bodies i.e. chip-to-chip

H01L 2224/08137 ・・・・・・・・the bodies being arranged next to each other, e.g. on a common substrate

H01L 2224/08145 ・・・・・・・・the bodies being stacked

H01L 2224/08146 ・・・・・・・・・the bonding area connecting to a via connection in the body

H01L 2224/08147 ・・・・・・・・・the bonding area connecting to a bonding area disposed in a recess of the surface of the body

H01L 2224/08148 ・・・・・・・・・the bonding area connecting to a bonding area protruding from the surface of the body

H01L 2224/08151 ・・・・・・・the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive

H01L 2224/08153 ・・・・・・・・the body and the item being arranged next to each other, e.g. on a common substrate

H01L 2224/08155 ・・・・・・・・・the item being non-metallic, e.g. being an insulating substrate with or without metallisation

H01L 2224/0816 ・・・・・・・・・・the bonding area connecting to a pin of the item

H01L 2224/08163 ・・・・・・・・・・the bonding area connecting to a potential ring of the item

H01L 2224/08165 ・・・・・・・・・・the bonding area connecting to a via metallisation of the item

H01L 2224/08167 ・・・・・・・・・・the bonding area connecting to a bonding area disposed in a recess of the surface of the item

H01L 2224/08168 ・・・・・・・・・・the bonding area connecting to a bonding area protruding from the surface of the item

H01L 2224/08175 ・・・・・・・・・the item being metallic

H01L 2224/08183 ・・・・・・・・・・the bonding area connecting to a potential ring of the item

H01L 2224/08187 ・・・・・・・・・・the bonding area connecting to a bonding area disposed in a recess of the surface of the item

H01L 2224/08188 ・・・・・・・・・・the bonding area connecting to a bonding area protruding from the surface of the item

H01L 2224/08195 ・・・・・・・・・the item being a discrete passive component

H01L 2224/08197 ・・・・・・・・・・the bonding area connecting to a bonding area disposed in a recess of the surface of the item

H01L 2224/08198 ・・・・・・・・・・the bonding area connecting to a bonding area protruding from the surface of the item

H01L 2224/08221 ・・・・・・・・the body and the item being stacked

H01L 2224/08225 ・・・・・・・・・the item being non-metallic, e.g. insulating substrate with or without metallisation

H01L 2224/0823 ・・・・・・・・・・the bonding area connecting to a pin of the item

H01L 2224/08233 ・・・・・・・・・・the bonding area connecting to a potential ring of the item

H01L 2224/08235 ・・・・・・・・・・the bonding area connecting to a via metallisation of the item

H01L 2224/08237 ・・・・・・・・・・the bonding area connecting to a bonding area disposed in a recess of the surface of the item

H01L 2224/08238 ・・・・・・・・・・the bonding area connecting to a bonding area protruding from the surface of the item

H01L 2224/08245 ・・・・・・・・・the item being metallic

H01L 2224/08253 ・・・・・・・・・・the bonding area connecting to a potential ring of the item

H01L 2224/08257 ・・・・・・・・・・the bonding area connecting to a bonding area disposed in a recess of the surface of the item

H01L 2224/08258 ・・・・・・・・・・the bonding area connecting to a bonding area protruding from the surface of the item

H01L 2224/08265 ・・・・・・・・・the item being a discrete passive component

H01L 2224/08267 ・・・・・・・・・・the bonding area connecting to a bonding area disposed in a recess of the surface of the item

H01L 2224/08268 ・・・・・・・・・・the bonding area connecting to a bonding area protruding from the surface of the item

H01L 2224/085 ・・・・・Material

H01L 2224/08501 ・・・・・・at the bonding interface

H01L 2224/08502 ・・・・・・・comprising an eutectic alloy

H01L 2224/08503 ・・・・・・・comprising an intermetallic compound

H01L 2224/08505 ・・・・・・outside the bonding interface

H01L 2224/08506 ・・・・・・・comprising an eutectic alloy

H01L 2224/09 ・・・・of a plurality of bonding areas

H01L 2224/0901 ・・・・・Structure

H01L 2224/0903 ・・・・・・Bonding areas having different sizes, e.g. different diameters, heights or widths

H01L 2224/0905 ・・・・・Shape

H01L 2224/09051 ・・・・・・Bonding areas having different shapes

H01L 2224/09055 ・・・・・・・of their bonding interfaces

H01L 2224/091 ・・・・・Disposition

H01L 2224/09102 ・・・・・・the bonding areas being at different heights

H01L 2224/09103 ・・・・・・・on the semiconductor or solid-state body

H01L 2224/09104 ・・・・・・・outside the semiconductor or solid-state body

H01L 2224/0912 ・・・・・・Layout (layout of bonding areas prior to the connecting process H01L 2224/0612)

H01L 2224/0913 ・・・・・・・Square or rectangular array

H01L 2224/09132 ・・・・・・・・being non uniform, i.e. having a non uniform pitch across the array

H01L 2224/09133 ・・・・・・・・with a staggered arrangement, e.g. depopulated array

H01L 2224/09134 ・・・・・・・・covering only portions of the surface to be connected

H01L 2224/09135 ・・・・・・・・・Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements

H01L 2224/0914 ・・・・・・・Circular array, i.e. array with radial symmetry

H01L 2224/09142 ・・・・・・・・being non uniform, i.e. having a non uniform pitch across the array

H01L 2224/09143 ・・・・・・・・with a staggered arrangement

H01L 2224/09144 ・・・・・・・・covering only portions of the surface to be connected

H01L 2224/09145 ・・・・・・・・・Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements

H01L 2224/0915 ・・・・・・・Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry

H01L 2224/09151 ・・・・・・・・being uniform, i.e. having a uniform pitch across the array

H01L 2224/09152 ・・・・・・・・being non uniform, i.e. having a non uniform pitch across the array

H01L 2224/09153 ・・・・・・・・with a staggered arrangement, e.g. depopulated array

H01L 2224/09154 ・・・・・・・・covering only portions of the surface to be connected

H01L 2224/09155 ・・・・・・・・・Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements

H01L 2224/09156 ・・・・・・・・・Covering only the central area of the surface to be connected, i.e. central arrangements

H01L 2224/0916 ・・・・・・・Random array, i.e. array with no symmetry

H01L 2224/09163 ・・・・・・・・with a staggered arrangement

H01L 2224/09164 ・・・・・・・・covering only portions of the surface to be connected

H01L 2224/09165 ・・・・・・・・・Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements

H01L 2224/09177 ・・・・・・・Combinations of arrays with different layouts

H01L 2224/09179 ・・・・・・・Corner adaptations, i.e. disposition of the bonding areas at the corners of the semiconductor or solid-state body

H01L 2224/0918 ・・・・・・being disposed on at least two different sides of the body, e.g. dual array

H01L 2224/09181 ・・・・・・・On opposite sides of the body

H01L 2224/09183 ・・・・・・・On contiguous sides of the body

H01L 2224/095 ・・・・・Material

H01L 2224/09505 ・・・・・・Bonding areas having different materials

H01L 2224/0951 ・・・・・Function

H01L 2224/09515 ・・・・・・Bonding areas having different functions

H01L 2224/09517 ・・・・・・・including bonding areas providing primarily mechanical support

H01L 2224/09519 ・・・・・・・including bonding areas providing primarily thermal dissipation

H01L 2224/10 ・・Bump connectors; Manufacturing methods related thereto

H01L 2224/1012 ・・・Auxiliary members for bump connectors, e.g. spacers

H01L 2224/10122 ・・・・being formed on the semiconductor or solid-state body to be connected

H01L 2224/10125 ・・・・・Reinforcing structures

H01L 2224/10126 ・・・・・・Bump collar

H01L 2224/10135 ・・・・・Alignment aids

H01L 2224/10145 ・・・・・Flow barriers

H01L 2224/10152 ・・・・being formed on an item to be connected not being a semiconductor or solid-state body

H01L 2224/10155 ・・・・・Reinforcing structures

H01L 2224/10156 ・・・・・・Bump collar

H01L 2224/10165 ・・・・・Alignment aids

H01L 2224/10175 ・・・・・Flow barriers

H01L 2224/11 ・・・Manufacturing methods

H01L 2224/11001 ・・・・Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate

H01L 2224/11002 ・・・・・for supporting the semiconductor or solid-state body

H01L 2224/11003 ・・・・・for holding or transferring the bump preform

H01L 2224/11005 ・・・・・for aligning the bump connector, e.g. marks, spacers

H01L 2224/11009 ・・・・・for protecting parts during manufacture

H01L 2224/11011 ・・・・Involving a permanent auxiliary member, i.e. a member which is left at least partly in the finished device, e.g. coating, dummy feature

H01L 2224/11013 ・・・・・for holding or confining the bump connector, e.g. solder flow barrier

H01L 2224/11015 ・・・・・for aligning the bump connector, e.g. marks, spacers

H01L 2224/11019 ・・・・・for protecting parts during the process

H01L 2224/111 ・・・・Manufacture and pre-treatment of the bump connector preform

H01L 2224/1111 ・・・・・Shaping

H01L 2224/1112 ・・・・・Applying permanent coating

H01L 2224/113 ・・・・by local deposition of the material of the bump connector

H01L 2224/1131 ・・・・・in liquid form

H01L 2224/11312 ・・・・・・Continuous flow, e.g. using a micro-syringe, a pump, a noozle or extrusion

H01L 2224/11318 ・・・・・・by dispensing droplets

H01L 2224/1132 ・・・・・・Screen printing, i.e. using a stencil

H01L 2224/1133 ・・・・・in solid form

H01L 2224/11332 ・・・・・・using a powder

H01L 2224/11334 ・・・・・・using preformed bumps

H01L 2224/1134 ・・・・・・Stud bumping, i.e. using a wire-bonding apparatus

H01L 2224/114 ・・・・by blanket deposition of the material of the bump connector

H01L 2224/1141 ・・・・・in liquid form

H01L 2224/11416 ・・・・・・Spin coating

H01L 2224/11418 ・・・・・・Spray coating

H01L 2224/1142 ・・・・・・Curtain coating

H01L 2224/11422 ・・・・・・by dipping, e.g. in a solder bath (hot-dipping C23C 2/00)

H01L 2224/11424 ・・・・・・Immersion coating, e.g. in a solder bath (immersion processes C23C 2/00)

H01L 2224/11426 ・・・・・・Chemical solution deposition [CSD], i.e. using a liquid precursor

H01L 2224/11428 ・・・・・・Wave coating

H01L 2224/1143 ・・・・・in solid form

H01L 2224/11436 ・・・・・・Lamination of a preform, e.g. foil, sheet or layer

H01L 2224/11438 ・・・・・・・the preform being at least partly pre-patterned

H01L 2224/1144 ・・・・・・・by transfer printing

H01L 2224/11442 ・・・・・・using a powder

H01L 2224/11444 ・・・・・in gaseous form

H01L 2224/1145 ・・・・・・Physical vapour deposition [PVD], e.g. evaporation, or sputtering

H01L 2224/11452 ・・・・・・Chemical vapour deposition [CVD], e.g. laser CVD

H01L 2224/1146 ・・・・・Plating

H01L 2224/11462 ・・・・・・Electroplating

H01L 2224/11464 ・・・・・・Electroless plating

H01L 2224/11466 ・・・・・Conformal deposition, i.e. blanket deposition of a conformal layer on a patterned surface

H01L 2224/1147 ・・・・using a lift-off mask

H01L 2224/11472 ・・・・・Profile of the lift-off mask

H01L 2224/11474 ・・・・・Multilayer masks

H01L 2224/1148 ・・・・・Permanent masks, i.e. masks left in the finished device, e.g. passivation layers

H01L 2224/115 ・・・・by chemical or physical modification of a pre-existing or pre-deposited material

H01L 2224/11502 ・・・・・Pre-existing or pre-deposited material

H01L 2224/11505 ・・・・・Sintering

H01L 2224/1151 ・・・・・Anodisation

H01L 2224/11515 ・・・・・Curing and solidification, e.g. of a photosensitive bump material

H01L 2224/1152 ・・・・・Self-assembly, e.g. self-agglomeration of the bump material in a fluid

H01L 2224/11522 ・・・・・・Auxiliary means therefor, e.g. for self-assembly activation

H01L 2224/11524 ・・・・・・with special adaptation of the surface or of an auxiliary substrate, e.g. surface shape specially adapted for the self-assembly process

H01L 2224/11526 ・・・・・・involving the material of the bonding area, e.g. bonding pad or under bump metallisation [UBM]

H01L 2224/1155 ・・・・・Selective modification

H01L 2224/11552 ・・・・・・using a laser or a focussed ion beam [FIB]

H01L 2224/11554 ・・・・・・・Stereolithography, i.e. solidification of a pattern defined by a laser trace in a photosensitive resin

H01L 2224/116 ・・・・by patterning a pre-deposited material (treatment of parts prior to assembly of the devices H01L 21/48)

H01L 2224/11602 ・・・・・Mechanical treatment, e.g. polishing, grinding

H01L 2224/1161 ・・・・・Physical or chemical etching

H01L 2224/11612 ・・・・・・by physical means only

H01L 2224/11614 ・・・・・・by chemical means only

H01L 2224/11616 ・・・・・・Chemical mechanical polishing [CMP]

H01L 2224/11618 ・・・・・with selective exposure, development and removal of a photosensitive bump material, e.g. of a photosensitive conductive resin

H01L 2224/1162 ・・・・・using masks

H01L 2224/11622 ・・・・・・Photolithography

H01L 2224/1163 ・・・・・using a laser or a focused ion beam [FIB]

H01L 2224/11632 ・・・・・・Ablation by means of a laser or focused ion beam [FIB]

H01L 2224/117 ・・・・involving monitoring, e.g. feedback loop

H01L 2224/118 ・・・・Post-treatment of the bump connector

H01L 2224/1181 ・・・・・Cleaning, e.g. oxide removal step, desmearing

H01L 2224/1182 ・・・・・Applying permanent coating, e.g. in-situ coating

H01L 2224/11821 ・・・・・・Spray coating

H01L 2224/11822 ・・・・・・by dipping, e.g. in a solder bath

H01L 2224/11823 ・・・・・・Immersion coating, e.g. in a solder bath

H01L 2224/11824 ・・・・・・Chemical solution deposition [CSD], i.e. using a liquid precursor

H01L 2224/11825 ・・・・・・Plating, e.g. electroplating, electroless plating

H01L 2224/11826 ・・・・・・Physical vapour deposition [PVD], e.g. evaporation, or sputtering

H01L 2224/11827 ・・・・・・Chemical vapour deposition [CVD], e.g. laser CVD

H01L 2224/1183 ・・・・・Reworking, e.g. shaping (reflowing H01L 2224/11849)

H01L 2224/11831 ・・・・・・involving a chemical process, e.g. etching the bump connector

H01L 2224/1184 ・・・・・・involving a mechanical process, e.g. planarising the bump connector

H01L 2224/11845 ・・・・・・Chemical mechanical polishing [CMP]

H01L 2224/11848 ・・・・・Thermal treatments, e.g. annealing, controlled cooling

H01L 2224/11849 ・・・・・・Reflowing

H01L 2224/119 ・・・・Methods of manufacturing bump connectors involving a specific sequence of method steps

H01L 2224/11901 ・・・・・with repetition of the same manufacturing step

H01L 2224/11902 ・・・・・・Multiple masking steps

H01L 2224/11903 ・・・・・・・using different masks

H01L 2224/11906 ・・・・・・・with modification of the same mask

H01L 2224/1191 ・・・・・Forming a passivation layer after forming the bump connector

H01L 2224/11912 ・・・・・the bump being used as a mask for patterning other parts

H01L 2224/11914 ・・・・・the under bump metallisation [UBM] being used as a mask for patterning other parts

H01L 2224/11916 ・・・・・a passivation layer being used as a mask for patterning other parts

H01L 2224/12 ・・・Structure, shape, material or disposition of the bump connectors prior to the connecting process

H01L 2224/12105 ・・・・Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages

H01L 2224/13 ・・・・of an individual bump connector

H01L 2224/13001 ・・・・・Core members of the bump connector

H01L 2224/13005 ・・・・・・Structure

H01L 2224/13006 ・・・・・・・Bump connector larger than the underlying bonding area, e.g. than the under bump metallisation [UBM]

H01L 2224/13007 ・・・・・・・Bump connector smaller than the underlying bonding area, e.g. than the under bump metallisation [UBM]

H01L 2224/13008 ・・・・・・・Bump connector integrally formed with a redistribution layer on the semiconductor or solid-state body

H01L 2224/13009 ・・・・・・・Bump connector integrally formed with a via connection of the semiconductor or solid-state body

H01L 2224/1301 ・・・・・・Shape

H01L 2224/13011 ・・・・・・・comprising apertures or cavities, e.g. hollow bump

H01L 2224/13012 ・・・・・・・in top view

H01L 2224/13013 ・・・・・・・・being rectangular or square

H01L 2224/13014 ・・・・・・・・being circular or elliptic

H01L 2224/13015 ・・・・・・・・comprising protrusions or indentations

H01L 2224/13016 ・・・・・・・in side view

H01L 2224/13017 ・・・・・・・・being non uniform along the bump connector

H01L 2224/13018 ・・・・・・・・comprising protrusions or indentations

H01L 2224/13019 ・・・・・・・・・at the bonding interface of the bump connector, i.e. on the surface of the bump connector

H01L 2224/1302 ・・・・・・Disposition

H01L 2224/13021 ・・・・・・・the bump connector being disposed in a recess of the surface

H01L 2224/13022 ・・・・・・・the bump connector being at least partially embedded in the surface

H01L 2224/13023 ・・・・・・・the whole bump connector protruding from the surface

H01L 2224/13024 ・・・・・・・the bump connector being disposed on a redistribution layer on the semiconductor or solid-state body

H01L 2224/13025 ・・・・・・・the bump connector being disposed on a via connection of the semiconductor or solid-state body

H01L 2224/13026 ・・・・・・・relative to the bonding area, e.g. bond pad, of the semiconductor or solid-state body

H01L 2224/13027 ・・・・・・・・the bump connector being offset with respect to the bonding area, e.g. bond pad

H01L 2224/13028 ・・・・・・・・the bump connector being disposed on at least two separate bonding areas, e.g. bond pads

H01L 2224/13075 ・・・・・・Plural core members

H01L 2224/13076 ・・・・・・・being mutually engaged together, e.g. through inserts

H01L 2224/13078 ・・・・・・・being disposed next to each other, e.g. side-to-side arrangements

H01L 2224/1308 ・・・・・・・being stacked

H01L 2224/13082 ・・・・・・・・Two-layer arrangements

H01L 2224/13083 ・・・・・・・・Three-layer arrangements

H01L 2224/13084 ・・・・・・・・Four-layer arrangements

H01L 2224/13099 ・・・・・・Material

H01L 2224/131 ・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/13101 ・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/13105 ・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/13109 ・・・・・・・・・Indium [In] as principal constituent

H01L 2224/13111 ・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/13113 ・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/13114 ・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/13116 ・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/13117 ・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/13118 ・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/1312 ・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/13123 ・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/13124 ・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/13138 ・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/13139 ・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/13144 ・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/13147 ・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/13149 ・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/13155 ・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/13157 ・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/1316 ・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/13163 ・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/13164 ・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/13166 ・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/13169 ・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/1317 ・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/13171 ・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/13172 ・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/13173 ・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/13176 ・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/13178 ・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/13179 ・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/1318 ・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/13181 ・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/13183 ・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/13184 ・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/13186 ・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/13187 ・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/13188)

H01L 2224/13188 ・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/1319 ・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/13191 ・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/13193 ・・・・・・・with a principal constituent of the material being a solid not provided for in groups T01L 224/44100 to T01L 224/44191, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/13194 ・・・・・・・with a principal constituent of the material being a liquid not provided for in groups T01L 224/44100 to T01L 224/44191

H01L 2224/13195 ・・・・・・・with a principal constituent of the material being a gas not provided for in groups T01L 224/44100 to T01L 224/44191

H01L 2224/13198 ・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/13199 ・・・・・・・・Material of the matrix

H01L 2224/132 ・・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/13201 ・・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/13205 ・・・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/13209 ・・・・・・・・・・・Indium [In] as principal constituent

H01L 2224/13211 ・・・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/13213 ・・・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/13214 ・・・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/13216 ・・・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/13217 ・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/13218 ・・・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/1322 ・・・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/13223 ・・・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/13224 ・・・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/13238 ・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/13239 ・・・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/13244 ・・・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/13247 ・・・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/13249 ・・・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/13255 ・・・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/13257 ・・・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/1326 ・・・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/13263 ・・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/13264 ・・・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/13266 ・・・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/13269 ・・・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/1327 ・・・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/13271 ・・・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/13272 ・・・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/13273 ・・・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/13276 ・・・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/13278 ・・・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/13279 ・・・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/1328 ・・・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/13281 ・・・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/13283 ・・・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/13284 ・・・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/13286 ・・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/13287 ・・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides glass ceramics H01L 2224/13288)

H01L 2224/13288 ・・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/1329 ・・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/13291 ・・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/13293 ・・・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/132 to H01L 2224/13291, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/13294 ・・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/132 to H01L 2224/13291

H01L 2224/13295 ・・・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/132 to H01L 2224/13291

H01L 2224/13298 ・・・・・・・・Fillers

H01L 2224/13299 ・・・・・・・・・Base material

H01L 2224/133 ・・・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/13301 ・・・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/13305 ・・・・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/13309 ・・・・・・・・・・・・Indium [In] as principal constituent

H01L 2224/13311 ・・・・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/13313 ・・・・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/13314 ・・・・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/13316 ・・・・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/13317 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/13318 ・・・・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/1332 ・・・・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/13323 ・・・・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/13324 ・・・・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/13338 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/13339 ・・・・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/13344 ・・・・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/13347 ・・・・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/13349 ・・・・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/13355 ・・・・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/13357 ・・・・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/1336 ・・・・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/13363 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/13364 ・・・・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/13366 ・・・・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/13369 ・・・・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/1337 ・・・・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/13371 ・・・・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/13372 ・・・・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/13373 ・・・・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/13376 ・・・・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/13378 ・・・・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/13379 ・・・・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/1338 ・・・・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/13381 ・・・・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/13383 ・・・・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/13384 ・・・・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/13386 ・・・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/13387 ・・・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/13388)

H01L 2224/13388 ・・・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/1339 ・・・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/13391 ・・・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/13393 ・・・・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/133 to H01L 2224/13391, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/13394 ・・・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/133 to H01L 2224/13391

H01L 2224/13395 ・・・・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/133 to H01L 2224/13391

H01L 2224/13398 ・・・・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/13399 ・・・・・・・・・Coating material

H01L 2224/134 ・・・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/13401 ・・・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/13405 ・・・・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/13409 ・・・・・・・・・・・・Indium [In] as principal constituent

H01L 2224/13411 ・・・・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/13413 ・・・・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/13414 ・・・・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/13416 ・・・・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/13417 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/13418 ・・・・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/1342 ・・・・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/13423 ・・・・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/13424 ・・・・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/13438 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/13439 ・・・・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/13444 ・・・・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/13447 ・・・・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/13449 ・・・・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/13455 ・・・・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/13457 ・・・・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/1346 ・・・・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/13463 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/13464 ・・・・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/13466 ・・・・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/13469 ・・・・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/1347 ・・・・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/13471 ・・・・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/13472 ・・・・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/13473 ・・・・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/13476 ・・・・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/13478 ・・・・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/13479 ・・・・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/1348 ・・・・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/13481 ・・・・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/13483 ・・・・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/13484 ・・・・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/13486 ・・・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/13487 ・・・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides [glass ceramics H01L 2224/13488]

H01L 2224/13488 ・・・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/1349 ・・・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/13491 ・・・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/13493 ・・・・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/134 to H01L 2224/13491, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/13494 ・・・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/134 to H01L 2224/13491

H01L 2224/13495 ・・・・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/134 to H01L 2224/13491

H01L 2224/13498 ・・・・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/13499 ・・・・・・・・・Shape or distribution of the fillers

H01L 2224/1354 ・・・・・Coating

H01L 2224/13541 ・・・・・・Structure

H01L 2224/1355 ・・・・・・Shape

H01L 2224/13551 ・・・・・・・being non uniform

H01L 2224/13552 ・・・・・・・・comprising protrusions or indentations

H01L 2224/13553 ・・・・・・・・・at the bonding interface of the bump connector, i.e. on the surface of the bump connector

H01L 2224/1356 ・・・・・・Disposition

H01L 2224/13561 ・・・・・・・On the entire surface of the core, i.e. integral coating

H01L 2224/13562 ・・・・・・・On the entire exposed surface of the core

H01L 2224/13563 ・・・・・・・Only on parts of the surface of the core, i.e. partial coating

H01L 2224/13564 ・・・・・・・・Only on the bonding interface of the bump connector

H01L 2224/13565 ・・・・・・・・Only outside the bonding interface of the bump connector

H01L 2224/13566 ・・・・・・・・Both on and outside the bonding interface of the bump connector

H01L 2224/1357 ・・・・・・Single coating layer

H01L 2224/13575 ・・・・・・Plural coating layers

H01L 2224/13576 ・・・・・・・being mutually engaged together, e.g. through inserts

H01L 2224/13578 ・・・・・・・being disposed next to each other, e.g. side-to-side arrangements

H01L 2224/1358 ・・・・・・・being stacked

H01L 2224/13582 ・・・・・・・・Two-layer coating

H01L 2224/13583 ・・・・・・・・Three-layer coating

H01L 2224/13584 ・・・・・・・・Four-layer coating

H01L 2224/13599 ・・・・・・Material

H01L 2224/136 ・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/13601 ・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/13605 ・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/13609 ・・・・・・・・・Indium [In] as principal constituent

H01L 2224/13611 ・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/13613 ・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/13614 ・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/13616 ・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/13617 ・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/13618 ・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/1362 ・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/13623 ・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/13624 ・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/13638 ・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/13639 ・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/13644 ・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/13647 ・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/13649 ・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/13655 ・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/13657 ・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/1366 ・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/13663 ・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/13664 ・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/13666 ・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/13669 ・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/1367 ・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/13671 ・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/13672 ・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/13673 ・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/13676 ・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/13678 ・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/13679 ・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/1368 ・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/13681 ・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/13683 ・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/13684 ・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/13686 ・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/13687 ・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/13688)

H01L 2224/13688 ・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/1369 ・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/13691 ・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/13693 ・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/136 to H01L 2224/13691, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/13694 ・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/136 to H01L 2224/13691

H01L 2224/13695 ・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/136 to H01L 2224/13691

H01L 2224/13698 ・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/13699 ・・・・・・・・Material of the matrix

H01L 2224/137 ・・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/13701 ・・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/13705 ・・・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/13709 ・・・・・・・・・・・Indium [In] as principal constituent

H01L 2224/13711 ・・・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/13713 ・・・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/13714 ・・・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/13716 ・・・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/13717 ・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/13718 ・・・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/1372 ・・・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/13723 ・・・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/13724 ・・・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/13738 ・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/13739 ・・・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/13744 ・・・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/13747 ・・・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/13749 ・・・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/13755 ・・・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/13757 ・・・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/1376 ・・・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/13763 ・・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/13764 ・・・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/13766 ・・・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/13769 ・・・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/1377 ・・・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/13771 ・・・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/13772 ・・・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/13773 ・・・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/13776 ・・・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/13778 ・・・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/13779 ・・・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/1378 ・・・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/13781 ・・・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/13783 ・・・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/13784 ・・・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/13786 ・・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/13787 ・・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/13788)

H01L 2224/13788 ・・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/1379 ・・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/13791 ・・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/13793 ・・・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/137 to H01L 2224/13791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/13794 ・・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/137 to H01L 2224/13791

H01L 2224/13795 ・・・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/137 to H01L 2224/13791

H01L 2224/13798 ・・・・・・・・Fillers

H01L 2224/13799 ・・・・・・・・・Base material

H01L 2224/138 ・・・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/13801 ・・・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/13805 ・・・・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/13809 ・・・・・・・・・・・・Indium [In] as principal constituent

H01L 2224/13811 ・・・・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/13813 ・・・・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/13814 ・・・・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/13816 ・・・・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/13817 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/13818 ・・・・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/1382 ・・・・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/13823 ・・・・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/13824 ・・・・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/13838 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/13839 ・・・・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/13844 ・・・・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/13847 ・・・・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/13849 ・・・・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/13855 ・・・・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/13857 ・・・・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/1386 ・・・・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/13863 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/13864 ・・・・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/13866 ・・・・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/13869 ・・・・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/1387 ・・・・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/13871 ・・・・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/13872 ・・・・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/13873 ・・・・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/13876 ・・・・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/13878 ・・・・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/13879 ・・・・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/1388 ・・・・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/13881 ・・・・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/13883 ・・・・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/13884 ・・・・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/13886 ・・・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/13887 ・・・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides [glass ceramics H01L 2224/13888]

H01L 2224/13888 ・・・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/1389 ・・・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/13891 ・・・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/13893 ・・・・・・・・・・with a principal constituent of the material being a solid not provided for in groups T01L 224/44800 to T01L 224/44891, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/13894 ・・・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups T01L 224/44800 to T01L 224/44891

H01L 2224/13895 ・・・・・・・・・・with a principal constituent of the material being a gas not provided for in groups T01L 224/44800 to T01L 224/44891

H01L 2224/13898 ・・・・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/13899 ・・・・・・・・・Coating material

H01L 2224/139 ・・・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/13901 ・・・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/13905 ・・・・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/13909 ・・・・・・・・・・・・Indium [In] as principal constituent

H01L 2224/13911 ・・・・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/13913 ・・・・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/13914 ・・・・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/13916 ・・・・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/13917 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/13918 ・・・・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/1392 ・・・・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/13923 ・・・・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/13924 ・・・・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/13938 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/13939 ・・・・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/13944 ・・・・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/13947 ・・・・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/13949 ・・・・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/13955 ・・・・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/13957 ・・・・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/1396 ・・・・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/13963 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/13964 ・・・・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/13966 ・・・・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/13969 ・・・・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/1397 ・・・・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/13971 ・・・・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/13972 ・・・・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/13973 ・・・・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/13976 ・・・・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/13978 ・・・・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/13979 ・・・・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/1398 ・・・・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/13981 ・・・・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/13983 ・・・・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/13984 ・・・・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/13986 ・・・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/13987 ・・・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/13988)

H01L 2224/13988 ・・・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/1399 ・・・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/13991 ・・・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/13993 ・・・・・・・・・・with a principal constituent of the material being a solid not provided for in groups T01L 224/44900 to T01L 224/44991, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/13994 ・・・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups T01L 224/44900 to T01L 224/44991

H01L 2224/13995 ・・・・・・・・・・with a principal constituent of the material being a gas not provided for in groups T01L 224/44900 to T01L 224/44991

H01L 2224/13998 ・・・・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/13999 ・・・・・・・・・Shape or distribution of the fillers

H01L 2224/14 ・・・・of a plurality of bump connectors

H01L 2224/1401 ・・・・・Structure

H01L 2224/1403 ・・・・・・Bump connectors having different sizes, e.g. different diameters, heights or widths

H01L 2224/1405 ・・・・・Shape

H01L 2224/14051 ・・・・・・Bump connectors having different shapes

H01L 2224/141 ・・・・・Disposition

H01L 2224/14104 ・・・・・・relative to the bonding areas, e.g. bond pads, of the semiconductor or solid-state body

H01L 2224/1411 ・・・・・・・the bump connectors being bonded to at least one common bonding area

H01L 2224/1412 ・・・・・・Layout

H01L 2224/1413 ・・・・・・・Square or rectangular array

H01L 2224/14131 ・・・・・・・・being uniform, i.e. having a uniform pitch across the array

H01L 2224/14132 ・・・・・・・・being non uniform, i.e. having a non uniform pitch across the array

H01L 2224/14133 ・・・・・・・・with a staggered arrangement, e.g. depopulated array

H01L 2224/14134 ・・・・・・・・covering only portions of the surface to be connected

H01L 2224/14135 ・・・・・・・・・Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements

H01L 2224/14136 ・・・・・・・・・Covering only the central area of the surface to be connected, i.e. central arrangements

H01L 2224/1414 ・・・・・・・Circular array, i.e. array with radial symmetry

H01L 2224/14141 ・・・・・・・・being uniform, i.e. having a uniform pitch across the array

H01L 2224/14142 ・・・・・・・・being non uniform, i.e. having a non uniform pitch across the array

H01L 2224/14143 ・・・・・・・・with a staggered arrangement, e.g. depopulated array

H01L 2224/14144 ・・・・・・・・・covering only portions of the surface to be connected

H01L 2224/14145 ・・・・・・・・・Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements

H01L 2224/14146 ・・・・・・・・・Covering only the central area of the surface to be connected, i.e. central arrangements

H01L 2224/1415 ・・・・・・・Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry

H01L 2224/14151 ・・・・・・・・being uniform, i.e. having a uniform pitch across the array

H01L 2224/14152 ・・・・・・・・being non uniform, i.e. having a non uniform pitch across the array

H01L 2224/14153 ・・・・・・・・with a staggered arrangement, e.g. depopulated array

H01L 2224/14154 ・・・・・・・・covering only portions of the surface to be connected

H01L 2224/14155 ・・・・・・・・・Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements

H01L 2224/14156 ・・・・・・・・・Covering only the central area of the surface to be connected, i.e. central arrangements

H01L 2224/1416 ・・・・・・・Random layout, i.e. layout with no symmetry

H01L 2224/14163 ・・・・・・・・with a staggered arrangement

H01L 2224/14164 ・・・・・・・・covering only portions of the surface to be connected

H01L 2224/14165 ・・・・・・・・・Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements

H01L 2224/14166 ・・・・・・・・・Covering only the central area of the surface to be connected, i.e. central arrangements

H01L 2224/14177 ・・・・・・・Combinations of arrays with different layouts

H01L 2224/14179 ・・・・・・・Corner adaptations, i.e. disposition of the bump connectors at the corners of the semiconductor or solid-state body

H01L 2224/1418 ・・・・・・being disposed on at least two different sides of the body, e.g. dual array

H01L 2224/14181 ・・・・・・・On opposite sides of the body

H01L 2224/14183 ・・・・・・・On contiguous sides of the body

H01L 2224/145 ・・・・・Material

H01L 2224/14505 ・・・・・・Bump connectors having different materials

H01L 2224/1451 ・・・・・Function

H01L 2224/14515 ・・・・・・Bump connectors having different functions

H01L 2224/14517 ・・・・・・・including bump connectors providing primarily mechanical bonding

H01L 2224/14519 ・・・・・・・including bump connectors providing primarily thermal dissipation

H01L 2224/15 ・・・Structure, shape, material or disposition of the bump connectors after the connecting process

H01L 2224/16 ・・・・of an individual bump connector

H01L 2224/1601 ・・・・・Structure

H01L 2224/16012 ・・・・・・relative to the bonding area, e.g. bond pad

H01L 2224/16013 ・・・・・・・the bump connector being larger than the bonding area, e.g. bond pad

H01L 2224/16014 ・・・・・・・the bump connector being smaller than the bonding area, e.g. bond pad

H01L 2224/1605 ・・・・・Shape

H01L 2224/16052 ・・・・・・in top view

H01L 2224/16054 ・・・・・・・being rectangular or square

H01L 2224/16055 ・・・・・・・being circular or elliptic

H01L 2224/16056 ・・・・・・・comprising protrusions or indentations

H01L 2224/16057 ・・・・・・in side view

H01L 2224/16058 ・・・・・・・being non uniform along the bump connector

H01L 2224/16059 ・・・・・・・comprising protrusions or indentations

H01L 2224/1607 ・・・・・・of bonding interfaces, e.g. interlocking features

H01L 2224/161 ・・・・・Disposition

H01L 2224/16104 ・・・・・・relative to the bonding area, e.g. bond pad

H01L 2224/16105 ・・・・・・・the bump connector connecting bonding areas being not aligned with respect to each other

H01L 2224/16106 ・・・・・・・the bump connector connecting one bonding area to at least two respective bonding areas

H01L 2224/16108 ・・・・・・the bump connector not being orthogonal to the surface

H01L 2224/16111 ・・・・・・the bump connector being disposed in a recess of the surface (embedded bump connector T01L 224/16122)

H01L 2224/16112 ・・・・・・the bump connector being at least partially embedded in the surface

H01L 2224/16113 ・・・・・・the whole bump connector protruding from the surface

H01L 2224/1613 ・・・・・・the bump connector connecting within a semiconductor or solid-state body, i.e. connecting two bonding areas on the same semiconductor or solid-state body

H01L 2224/16135 ・・・・・・the bump connector connecting between different semiconductor or solid-state bodies i.e. chip-to-chip

H01L 2224/16137 ・・・・・・・the bodies being arranged next to each other, e.g. on a common substrate

H01L 2224/16141 ・・・・・・・the bodies being arranged on opposite sides of a substrate, e.g. mirror arrangements

H01L 2224/16145 ・・・・・・・the bodies being stacked

H01L 2224/16146 ・・・・・・・・the bump connector connecting to a via connection in the semiconductor or solid-state body

H01L 2224/16147 ・・・・・・・・the bump connector connecting to a bonding area disposed in a recess of the surface

H01L 2224/16148 ・・・・・・・・the bump connector connecting to a bonding area protruding from the surface

H01L 2224/16151 ・・・・・・the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive

H01L 2224/16153 ・・・・・・・the body and the item being arranged next to each other, e.g. on a common substrate

H01L 2224/16155 ・・・・・・・・the item being non-metallic, e.g. being an insulating substrate with or without metallisation

H01L 2224/16157 ・・・・・・・・・the bump connector connecting to a bond pad of the item

H01L 2224/1616 ・・・・・・・・・the bump connector connecting to a pin of the item

H01L 2224/16163 ・・・・・・・・・the bump connector connecting to a potential ring of the item

H01L 2224/16165 ・・・・・・・・・the bump connector connecting to a via metallisation of the item

H01L 2224/16167 ・・・・・・・・・the bump connector connecting to a bonding area disposed in a recess of the surface of the item

H01L 2224/16168 ・・・・・・・・・the bump connector connecting to a bonding area protruding from the surface of the item

H01L 2224/16175 ・・・・・・・・the item being metallic

H01L 2224/16183 ・・・・・・・・・the bump connector connecting to a potential ring of the item

H01L 2224/16187 ・・・・・・・・・the bump connector connecting to a bonding area disposed in a recess of the surface of the item

H01L 2224/16188 ・・・・・・・・・the bump connector connecting to a bonding area protruding from the surface of the item

H01L 2224/16195 ・・・・・・・・the item being a discrete passive component

H01L 2224/16197 ・・・・・・・・・the bump connector connecting to a bonding area disposed in a recess of the surface of the item

H01L 2224/16198 ・・・・・・・・・the bump connector connecting to a bonding area protruding from the surface of the item

H01L 2224/16221 ・・・・・・・the body and the item being stacked

H01L 2224/16225 ・・・・・・・・the item being non-metallic, e.g. insulating substrate with or without metallisation

H01L 2224/16227 ・・・・・・・・・the bump connector connecting to a bond pad of the item

H01L 2224/1623 ・・・・・・・・・the bump connector connecting to a pin of the item

H01L 2224/16233 ・・・・・・・・・the bump connector connecting to a potential ring of the item

H01L 2224/16235 ・・・・・・・・・the bump connector connecting to a via metallisation of the item

H01L 2224/16237 ・・・・・・・・・the bump connector connecting to a bonding area disposed in a recess of the surface of the item

H01L 2224/16238 ・・・・・・・・・the bump connector connecting to a bonding area protruding from the surface of the item

H01L 2224/1624 ・・・・・・・・・the bump connector connecting between the body and an opposite side of the item with respect to the body

H01L 2224/16245 ・・・・・・・・the item being metallic

H01L 2224/16253 ・・・・・・・・・the bump connector connecting to a potential ring of the item

H01L 2224/16257 ・・・・・・・・・the bump connector connecting to a bonding area disposed in a recess of the surface of the item

H01L 2224/16258 ・・・・・・・・・the bump connector connecting to a bonding area protruding from the surface of the item

H01L 2224/1626 ・・・・・・・・・the bump connector connecting between the body and an opposite side of the item with respect to the body

H01L 2224/16265 ・・・・・・・・the item being a discrete passive component

H01L 2224/16267 ・・・・・・・・・the bump connector connecting to a bonding area disposed in a recess of the surface of the item

H01L 2224/16268 ・・・・・・・・・the bump connector connecting to a bonding area protruding from the surface of the item

H01L 2224/165 ・・・・・Material

H01L 2224/16501 ・・・・・・at the bonding interface

H01L 2224/16502 ・・・・・・・comprising an eutectic alloy

H01L 2224/16503 ・・・・・・・comprising an intermetallic compound

H01L 2224/16505 ・・・・・・outside the bonding interface, e.g. in the bulk of the bump connector

H01L 2224/16506 ・・・・・・・comprising an eutectic alloy

H01L 2224/16507 ・・・・・・・comprising an intermetallic compound

H01L 2224/17 ・・・・of a plurality of bump connectors

H01L 2224/1701 ・・・・・Structure

H01L 2224/1703 ・・・・・・Bump connectors having different sizes, e.g. different diameters, heights or widths

H01L 2224/1705 ・・・・・Shape

H01L 2224/17051 ・・・・・・Bump connectors having different shapes

H01L 2224/17055 ・・・・・・・of their bonding interfaces

H01L 2224/171 ・・・・・Disposition

H01L 2224/17104 ・・・・・・relative to the bonding areas, e.g. bond pads

H01L 2224/17106 ・・・・・・・the bump connectors being bonded to at least one common bonding area

H01L 2224/17107 ・・・・・・・・the bump connectors connecting two common bonding areas

H01L 2224/1712 ・・・・・・Layout (layout of bump connectors prior to the connecting process H01L 2224/1412)

H01L 2224/1713 ・・・・・・・Square or rectangular array

H01L 2224/17132 ・・・・・・・・being non uniform, i.e. having a non uniform pitch across the array

H01L 2224/17133 ・・・・・・・・with a staggered arrangement, e.g. depopulated array

H01L 2224/17134 ・・・・・・・・covering only portions of the surface to be connected

H01L 2224/17135 ・・・・・・・・・Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements

H01L 2224/17136 ・・・・・・・・・Covering only the central area of the surface to be connected, i.e. central arrangements

H01L 2224/1714 ・・・・・・・Circular array, i.e. array with radial symmetry

H01L 2224/17142 ・・・・・・・・being non uniform, i.e. having a non uniform pitch across the array

H01L 2224/17143 ・・・・・・・・with a staggered arrangement

H01L 2224/17144 ・・・・・・・・covering only portions of the surface to be connected

H01L 2224/17145 ・・・・・・・・・Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements

H01L 2224/17146 ・・・・・・・・・Covering only the central area of the surface to be connected, i.e. central arrangements

H01L 2224/1715 ・・・・・・・Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry

H01L 2224/17151 ・・・・・・・・being uniform, i.e. having a uniform pitch across the array

H01L 2224/17152 ・・・・・・・・being non uniform, i.e. having a non uniform pitch across the array

H01L 2224/17153 ・・・・・・・・with a staggered arrangement, e.g. depopulated array

H01L 2224/17154 ・・・・・・・・covering only portions of the surface to be connected

H01L 2224/17155 ・・・・・・・・・Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements

H01L 2224/17156 ・・・・・・・・・Covering only the central area of the surface to be connected, i.e. central arrangements

H01L 2224/1716 ・・・・・・・Random layout, i.e. layout with no symmetry

H01L 2224/17163 ・・・・・・・・with a staggered arrangement

H01L 2224/17164 ・・・・・・・・covering only portions of the surface to be connected

H01L 2224/17165 ・・・・・・・・・Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements

H01L 2224/17166 ・・・・・・・・・Covering only the central area of the surface to be connected, i.e. central arrangements

H01L 2224/17177 ・・・・・・・Combinations of arrays with different layouts

H01L 2224/17179 ・・・・・・・Corner adaptations, i.e. disposition of the bump connectors at the corners of the semiconductor or solid-state body

H01L 2224/1718 ・・・・・・being disposed on at least two different sides of the body, e.g. dual array

H01L 2224/17181 ・・・・・・・On opposite sides of the body

H01L 2224/17183 ・・・・・・・On contiguous sides of the body

H01L 2224/175 ・・・・・Material

H01L 2224/17505 ・・・・・・Bump connectors having different materials

H01L 2224/1751 ・・・・・Function

H01L 2224/17515 ・・・・・・Bump connectors having different functions

H01L 2224/17517 ・・・・・・・including bump connectors providing primarily mechanical support

H01L 2224/17519 ・・・・・・・including bump connectors providing primarily thermal dissipation

H01L 2224/18 ・・High density interconnect [HDI] connectors; Manufacturing methods related thereto

H01L 2224/19 ・・・Manufacturing methods of high density interconnect preforms

H01L 2224/20 ・・・Structure, shape, material or disposition of high density interconnect preforms

H01L 2224/21 ・・・・of an individual HDI interconnect

H01L 2224/2101 ・・・・・Structure

H01L 2224/2105 ・・・・・Shape

H01L 2224/211 ・・・・・Disposition

H01L 2224/214 ・・・・・Connecting portions

H01L 2224/215 ・・・・・Material

H01L 2224/22 ・・・・of a plurality of HDI interconnects

H01L 2224/2201 ・・・・・Structure

H01L 2224/2205 ・・・・・Shape

H01L 2224/221 ・・・・・Disposition

H01L 2224/224 ・・・・・Connecting portions

H01L 2224/225 ・・・・・Material

H01L 2224/22505 ・・・・・・HDI interconnects having different materials

H01L 2224/23 ・・・Structure, shape, material or disposition of the high density interconnect connectors after the connecting process

H01L 2224/24 ・・・・of an individual high density interconnect connector

H01L 2224/2401 ・・・・・Structure

H01L 2224/24011 ・・・・・・Deposited, e.g. MCM-D type

H01L 2224/2402 ・・・・・・Laminated, e.g. MCM-L type

H01L 2224/2405 ・・・・・Shape

H01L 2224/24051 ・・・・・・Conformal with the semiconductor or solid-state device

H01L 2224/241 ・・・・・Disposition

H01L 2224/24101 ・・・・・・Connecting bonding areas at the same height

H01L 2224/24105 ・・・・・・Connecting bonding areas at different heights

H01L 2224/2413 ・・・・・・Connecting within a semiconductor or solid-state body

H01L 2224/24135 ・・・・・・Connecting between different semiconductor or solid-state bodies i.e. chip-to-chip

H01L 2224/24137 ・・・・・・・the bodies being arranged next to each other, e.g. on a common substrate

H01L 2224/24141 ・・・・・・・the bodies being arranged on opposite sides of a substrate, e.g. mirror arrangements

H01L 2224/24145 ・・・・・・・the bodies being stacked

H01L 2224/24146 ・・・・・・・・the HDI interconnect connecting to the same level of the lower semiconductor or solid-state body at which the upper semiconductor or solid-state body is mounted

H01L 2224/24147 ・・・・・・・・the HDI interconnect not connecting to the same level of the lower semiconductor or solid-state body at which the upper semiconductor or solid-state body is mounted, e.g. the upper semiconductor or solid-state body being mounted in a cavity or on a protrusion of the lower semiconductor or solid-state body

H01L 2224/24151 ・・・・・・Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive

H01L 2224/24153 ・・・・・・・the body and the item being arranged next to each other, e.g. on a common substrate

H01L 2224/24155 ・・・・・・・・the item being non-metallic, e.g. insulating substrate with or without metallisation

H01L 2224/24175 ・・・・・・・・the item being metallic

H01L 2224/24195 ・・・・・・・・the item being a discrete passive component

H01L 2224/24221 ・・・・・・・the body and the item being stacked

H01L 2224/24225 ・・・・・・・・the item being non-metallic, e.g. insulating substrate with or without metallisation

H01L 2224/24226 ・・・・・・・・・the HDI interconnect connecting to the same level of the item at which the semiconductor or solid-state body is mounted e.g. the item being planar

H01L 2224/24227 ・・・・・・・・・the HDI interconnect not connecting to the same level of the item at which the semiconductor or solid-state body is mounted, e.g. the semiconductor or solid-state body being mounted in a cavity or on a protrusion of the item

H01L 2224/24245 ・・・・・・・・the item being metallic

H01L 2224/24246 ・・・・・・・・・the HDI interconnect connecting to the same level of the item at which the semiconductor or solid-state body is mounted e.g. the item being planar

H01L 2224/24247 ・・・・・・・・・the HDI interconnect not connecting to the same level of the item at which the semiconductor or solid-state body is mounted, e.g. the semiconductor or solid-state body being mounted in a cavity or on a protrusion of the item

H01L 2224/24265 ・・・・・・・・the item being a discrete passive component

H01L 2224/244 ・・・・・Connecting portions

H01L 2224/245 ・・・・・Material

H01L 2224/2499 ・・・・・Auxiliary members for HDI interconnects, e.g. spacers, alignment aids

H01L 2224/24991 ・・・・・・being formed on the semiconductor or solid-state body to be connected

H01L 2224/24992 ・・・・・・・Flow barrier

H01L 2224/24996 ・・・・・・being formed on an item to be connected not being a semiconductor or solid-state body

H01L 2224/24997 ・・・・・・・Flow barrier

H01L 2224/24998 ・・・・・・・Reinforcing structures, e.g. ramp-like support

H01L 2224/25 ・・・・of a plurality of high density interconnect connectors

H01L 2224/2501 ・・・・・Structure

H01L 2224/2505 ・・・・・Shape

H01L 2224/251 ・・・・・Disposition

H01L 2224/25105 ・・・・・・Connecting at different heights

H01L 2224/2511 ・・・・・・the connectors being bonded to at least one common bonding area

H01L 2224/25111 ・・・・・・・the connectors connecting two common bonding areas

H01L 2224/25112 ・・・・・・・the connectors connecting a common bonding area on the semiconductor or solid-state body to different bonding areas outside the body

H01L 2224/25113 ・・・・・・・the connectors connecting different bonding areas on the semiconductor or solid-state body to a common bonding area outside the body

H01L 2224/2512 ・・・・・・Layout

H01L 2224/25171 ・・・・・・・Fan-out arrangements

H01L 2224/25174 ・・・・・・・Stacked arrangements

H01L 2224/25175 ・・・・・・・Parallel arrangements

H01L 2224/25177 ・・・・・・・Combinations of a plurality of arrangements

H01L 2224/2518 ・・・・・・being disposed on at least two different sides of the body, e.g. dual array

H01L 2224/254 ・・・・・Connecting portions

H01L 2224/2541 ・・・・・・the connecting portions being stacked

H01L 2224/2543 ・・・・・・the connecting portions being staggered

H01L 2224/255 ・・・・・Material

H01L 2224/26 ・・Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto

H01L 2224/2612 ・・・Auxiliary members for layer connectors, e.g. spacers

H01L 2224/26122 ・・・・being formed on the semiconductor or solid-state body to be connected

H01L 2224/26125 ・・・・・Reinforcing structures

H01L 2224/26135 ・・・・・Alignment aids

H01L 2224/26145 ・・・・・Flow barriers

H01L 2224/26152 ・・・・being formed on an item to be connected not being a semiconductor or solid-state body

H01L 2224/26155 ・・・・・Reinforcing structures

H01L 2224/26165 ・・・・・Alignment aids

H01L 2224/26175 ・・・・・Flow barriers

H01L 2224/27 ・・・Manufacturing methods

H01L 2224/27001 ・・・・Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate

H01L 2224/27002 ・・・・・for supporting the semiconductor or solid-state body

H01L 2224/27003 ・・・・・for holding or transferring the layer preform

H01L 2224/27005 ・・・・・for aligning the layer connector, e.g. marks, spacers

H01L 2224/27009 ・・・・・for protecting parts during manufacture

H01L 2224/27011 ・・・・Involving a permanent auxiliary member, i.e. a member which is left at least partly in the finished device, e.g. coating, dummy feature

H01L 2224/27013 ・・・・・for holding or confining the layer connector, e.g. solder flow barrier

H01L 2224/27015 ・・・・・for aligning the layer connector, e.g. marks, spacers

H01L 2224/27019 ・・・・・for protecting parts during the process

H01L 2224/271 ・・・・Manufacture and pre-treatment of the layer connector preform

H01L 2224/2711 ・・・・・Shaping

H01L 2224/2712 ・・・・・Applying permanent coating

H01L 2224/273 ・・・・by local deposition of the material of the layer connector

H01L 2224/2731 ・・・・・in liquid form

H01L 2224/27312 ・・・・・・Continuous flow, e.g. using a micro-syringe, a pump, a noozle or extrusion

H01L 2224/27318 ・・・・・・by dispensing droplets

H01L 2224/2732 ・・・・・・Screen printing, i.e. using a stencil

H01L 2224/2733 ・・・・・in solid form

H01L 2224/27332 ・・・・・・using a powder

H01L 2224/27334 ・・・・・・using preformed layer

H01L 2224/274 ・・・・by blanket deposition of the material of the layer connector

H01L 2224/2741 ・・・・・in liquid form

H01L 2224/27416 ・・・・・・Spin coating

H01L 2224/27418 ・・・・・・Spray coating

H01L 2224/2742 ・・・・・・Curtain coating

H01L 2224/27422 ・・・・・・by dipping, e.g. in a solder bath (hot-dipping C23C 2/00)

H01L 2224/27424 ・・・・・・Immersion coating, e.g. in a solder bath (immersion processes C23C 2/00)

H01L 2224/27426 ・・・・・・Chemical solution deposition [CSD], i.e. using a liquid precursor

H01L 2224/27428 ・・・・・・Wave coating

H01L 2224/2743 ・・・・・in solid form

H01L 2224/27436 ・・・・・・Lamination of a preform, e.g. foil, sheet or layer

H01L 2224/27438 ・・・・・・・the preform being at least partly pre-patterned

H01L 2224/2744 ・・・・・・・by transfer printing

H01L 2224/27442 ・・・・・・using a powder

H01L 2224/27444 ・・・・・in gaseous form

H01L 2224/2745 ・・・・・・Physical vapour deposition [PVD], e.g. evaporation, or sputtering

H01L 2224/27452 ・・・・・・Chemical vapour deposition [CVD], e.g. laser CVD

H01L 2224/2746 ・・・・・Plating

H01L 2224/27462 ・・・・・・Electroplating

H01L 2224/27464 ・・・・・・Electroless plating

H01L 2224/27466 ・・・・・Conformal deposition, i.e. blanket deposition of a conformal layer on a patterned surface

H01L 2224/2747 ・・・・using a lift-off mask

H01L 2224/27472 ・・・・・Profile of the lift-off mask

H01L 2224/27474 ・・・・・Multilayer masks

H01L 2224/2748 ・・・・・Permanent masks, i.e. masks left in the finished device, e.g. passivation layers

H01L 2224/275 ・・・・by chemical or physical modification of a pre-existing or pre-deposited material

H01L 2224/27502 ・・・・・Pre-existing or pre-deposited material

H01L 2224/27505 ・・・・・Sintering

H01L 2224/2751 ・・・・・Anodisation

H01L 2224/27515 ・・・・・Curing and solidification, e.g. of a photosensitive layer material

H01L 2224/2752 ・・・・・Self-assembly, e.g. self-agglomeration of the layer material in a fluid

H01L 2224/27522 ・・・・・・Auxiliary means therefor, e.g. for self-assembly activation

H01L 2224/27524 ・・・・・・with special adaptation of the surface or of an auxiliary substrate, e.g. surface shape specially adapted for the self-assembly process

H01L 2224/27526 ・・・・・・involving the material of the bonding area, e.g. bonding pad

H01L 2224/2755 ・・・・・Selective modification

H01L 2224/27552 ・・・・・・using a laser or a focussed ion beam [FIB]

H01L 2224/27554 ・・・・・・・Stereolithography, i.e. solidification of a pattern defined by a laser trace in a photosensitive resin

H01L 2224/276 ・・・・by patterning a pre-deposited material (treatment of parts prior to assembly of the devices H01L 21/48)

H01L 2224/27602 ・・・・・Mechanical treatment, e.g. polishing, grinding

H01L 2224/2761 ・・・・・Physical or chemical etching

H01L 2224/27612 ・・・・・・by physical means only

H01L 2224/27614 ・・・・・・by chemical means only

H01L 2224/27616 ・・・・・・Chemical mechanical polishing [CMP]

H01L 2224/27618 ・・・・・with selective exposure, development and removal of a photosensitive layer material, e.g. of a photosensitive conductive resin

H01L 2224/2762 ・・・・・using masks

H01L 2224/27622 ・・・・・・Photolithography

H01L 2224/2763 ・・・・・using a laser or a focused ion beam [FIB]

H01L 2224/27632 ・・・・・・Ablation by means of a laser or focused ion beam [FIB]

H01L 2224/277 ・・・・involving monitoring, e.g. feedback loop

H01L 2224/278 ・・・・Post-treatment of the layer connector

H01L 2224/2781 ・・・・・Cleaning, e.g. oxide removal step, desmearing

H01L 2224/2782 ・・・・・Applying permanent coating, e.g. in-situ coating

H01L 2224/27821 ・・・・・・Spray coating

H01L 2224/27822 ・・・・・・by dipping, e.g. in a solder bath

H01L 2224/27823 ・・・・・・Immersion coating, e.g. in a solder bath

H01L 2224/27824 ・・・・・・Chemical solution deposition [CSD], i.e. using a liquid precursor

H01L 2224/27825 ・・・・・・Plating, e.g. electroplating, electroless plating

H01L 2224/27826 ・・・・・・Physical vapour deposition [PVD], e.g. evaporation, or sputtering

H01L 2224/27827 ・・・・・・Chemical vapour deposition [CVD], e.g. laser CVD

H01L 2224/2783 ・・・・・Reworking, e.g. shaping (reflowing H01L 2224/27849)

H01L 2224/27831 ・・・・・・involving a chemical process, e.g. etching the layer connector

H01L 2224/2784 ・・・・・・involving a mechanical process, e.g. planarising the layer connector

H01L 2224/27845 ・・・・・・Chemical mechanical polishing [CMP]

H01L 2224/27848 ・・・・・Thermal treatments, e.g. annealing, controlled cooling

H01L 2224/27849 ・・・・・・Reflowing

H01L 2224/279 ・・・・Methods of manufacturing layer connectors involving a specific sequence of method steps

H01L 2224/27901 ・・・・・with repetition of the same manufacturing step

H01L 2224/27902 ・・・・・・Multiple masking steps

H01L 2224/27903 ・・・・・・・using different masks

H01L 2224/27906 ・・・・・・・with modification of the same mask

H01L 2224/2791 ・・・・・Forming a passivation layer after forming the layer connector

H01L 2224/27912 ・・・・・the layer being used as a mask for patterning other parts

H01L 2224/27916 ・・・・・a passivation layer being used as a mask for patterning other parts

H01L 2224/28 ・・・Structure, shape, material or disposition of the layer connectors prior to the connecting process

H01L 2224/28105 ・・・・Layer connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. layer connectors on chip-scale packages

H01L 2224/29 ・・・・of an individual layer connector

H01L 2224/29001 ・・・・・Core members of the layer connector

H01L 2224/29005 ・・・・・・Structure

H01L 2224/29006 ・・・・・・・Layer connector larger than the underlying bonding area

H01L 2224/29007 ・・・・・・・Layer connector smaller than the underlying bonding area

H01L 2224/29008 ・・・・・・・Layer connector integrally formed with a redistribution layer on the semiconductor or solid-state body

H01L 2224/29009 ・・・・・・・Layer connector integrally formed with a via connection of the semiconductor or solid-state body

H01L 2224/2901 ・・・・・・Shape

H01L 2224/29011 ・・・・・・・comprising apertures or cavities

H01L 2224/29012 ・・・・・・・in top view

H01L 2224/29013 ・・・・・・・・being rectangular or square

H01L 2224/29014 ・・・・・・・・being circular or elliptic

H01L 2224/29015 ・・・・・・・・comprising protrusions or indentations

H01L 2224/29016 ・・・・・・・in side view

H01L 2224/29017 ・・・・・・・・being non uniform along the layer connector

H01L 2224/29018 ・・・・・・・・comprising protrusions or indentations

H01L 2224/29019 ・・・・・・・・・at the bonding interface of the layer connector, i.e. on the surface of the layer connector

H01L 2224/2902 ・・・・・・Disposition

H01L 2224/29021 ・・・・・・・the layer connector being disposed in a recess of the surface (embedded layer connector H01L 2224/29022)

H01L 2224/29022 ・・・・・・・the layer connector being at least partially embedded in the surface

H01L 2224/29023 ・・・・・・・the whole layer connector protruding from the surface

H01L 2224/29024 ・・・・・・・the layer connector being disposed on a redistribution layer on the semiconductor or solid-state body

H01L 2224/29025 ・・・・・・・the layer connector being disposed on a via connection of the semiconductor or solid-state body

H01L 2224/29026 ・・・・・・・relative to the bonding area, e.g. bond pad, of the semiconductor or solid-state body

H01L 2224/29027 ・・・・・・・・the layer connector being offset with respect to the bonding area, e.g. bond pad

H01L 2224/29028 ・・・・・・・・the layer connector being disposed on at least two separate bonding areas, e.g. bond pads

H01L 2224/29034 ・・・・・・・the layer connector covering only portions of the surface to be connected

H01L 2224/29035 ・・・・・・・・covering only the peripheral area of the surface to be connected

H01L 2224/29036 ・・・・・・・・covering only the central area of the surface to be connected

H01L 2224/29075 ・・・・・・Plural core members

H01L 2224/29076 ・・・・・・・being mutually engaged together, e.g. through inserts

H01L 2224/29078 ・・・・・・・being disposed next to each other, e.g. side-to-side arrangements

H01L 2224/2908 ・・・・・・・being stacked

H01L 2224/29082 ・・・・・・・・Two-layer arrangements

H01L 2224/29083 ・・・・・・・・Three-layer arrangements

H01L 2224/29084 ・・・・・・・・Four-layer arrangements

H01L 2224/29099 ・・・・・・Material

H01L 2224/291 ・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/29101 ・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/29105 ・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/29109 ・・・・・・・・・Indium [In] as principal constituent

H01L 2224/29111 ・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/29113 ・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/29114 ・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/29116 ・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/29117 ・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/29118 ・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/2912 ・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/29123 ・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/29124 ・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/29138 ・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/29139 ・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/29144 ・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/29147 ・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/29149 ・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/29155 ・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/29157 ・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/2916 ・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/29163 ・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/29164 ・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/29166 ・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/29169 ・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/2917 ・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/29171 ・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/29172 ・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/29173 ・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/29176 ・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/29178 ・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/29179 ・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/2918 ・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/29181 ・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/29183 ・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/29184 ・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/29186 ・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/29187 ・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/29188)

H01L 2224/29188 ・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/2919 ・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/29191 ・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/29193 ・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/291 to H01L 2224/29191, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/29194 ・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/291 to H01L 2224/29191

H01L 2224/29195 ・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/291 to H01L 2224/29191

H01L 2224/29198 ・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/29199 ・・・・・・・・Material of the matrix

H01L 2224/292 ・・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/29201 ・・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/29205 ・・・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/29209 ・・・・・・・・・・・Indium [In] as principal constituent

H01L 2224/29211 ・・・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/29213 ・・・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/29214 ・・・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/29216 ・・・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/29217 ・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/29218 ・・・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/2922 ・・・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/29223 ・・・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/29224 ・・・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/29238 ・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/29239 ・・・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/29244 ・・・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/29247 ・・・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/29249 ・・・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/29255 ・・・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/29257 ・・・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/2926 ・・・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/29263 ・・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/29264 ・・・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/29266 ・・・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/29269 ・・・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/2927 ・・・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/29271 ・・・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/29272 ・・・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/29273 ・・・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/29276 ・・・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/29278 ・・・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/29279 ・・・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/2928 ・・・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/29281 ・・・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/29283 ・・・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/29284 ・・・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/29286 ・・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/29287 ・・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/29288)

H01L 2224/29288 ・・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/2929 ・・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/29291 ・・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/29293 ・・・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/292 to H01L 2224/29291, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/29294 ・・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/292 to H01L 2224/29291

H01L 2224/29295 ・・・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/292 to H01L 2224/29291

H01L 2224/29298 ・・・・・・・・Fillers

H01L 2224/29299 ・・・・・・・・・Base material

H01L 2224/293 ・・・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/29301 ・・・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/29305 ・・・・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/29309 ・・・・・・・・・・・・Indium [In] as principal constituent

H01L 2224/29311 ・・・・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/29313 ・・・・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/29314 ・・・・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/29316 ・・・・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/29317 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/29318 ・・・・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/2932 ・・・・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/29323 ・・・・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/29324 ・・・・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/29338 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/29339 ・・・・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/29344 ・・・・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/29347 ・・・・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/29349 ・・・・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/29355 ・・・・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/29357 ・・・・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/2936 ・・・・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/29363 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/29364 ・・・・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/29366 ・・・・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/29369 ・・・・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/2937 ・・・・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/29371 ・・・・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/29372 ・・・・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/29373 ・・・・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/29376 ・・・・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/29378 ・・・・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/29379 ・・・・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/2938 ・・・・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/29381 ・・・・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/29383 ・・・・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/29384 ・・・・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/29386 ・・・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/29387 ・・・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/29388)

H01L 2224/29388 ・・・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/2939 ・・・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/29391 ・・・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/29393 ・・・・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/293 to H01L 2224/29391, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/29394 ・・・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/293 to H01L 2224/29391

H01L 2224/29395 ・・・・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/293 to H01L 2224/29391

H01L 2224/29398 ・・・・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/29399 ・・・・・・・・・Coating material

H01L 2224/294 ・・・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/29401 ・・・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/29405 ・・・・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/29409 ・・・・・・・・・・・・Indium [In] as principal constituent

H01L 2224/29411 ・・・・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/29413 ・・・・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/29414 ・・・・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/29416 ・・・・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/29417 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/29418 ・・・・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/2942 ・・・・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/29423 ・・・・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/29424 ・・・・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/29438 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/29439 ・・・・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/29444 ・・・・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/29447 ・・・・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/29449 ・・・・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/29455 ・・・・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/29457 ・・・・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/2946 ・・・・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/29463 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/29464 ・・・・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/29466 ・・・・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/29469 ・・・・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/2947 ・・・・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/29471 ・・・・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/29472 ・・・・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/29473 ・・・・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/29476 ・・・・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/29478 ・・・・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/29479 ・・・・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/2948 ・・・・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/29481 ・・・・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/29483 ・・・・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/29484 ・・・・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/29486 ・・・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/29487 ・・・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/29488)

H01L 2224/29488 ・・・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/2949 ・・・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/29491 ・・・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/29493 ・・・・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/294 to H01L 2224/29491, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/29494 ・・・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/294 to H01L 2224/29491

H01L 2224/29495 ・・・・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/294 to H01L 2224/29491

H01L 2224/29498 ・・・・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/29499 ・・・・・・・・・Shape or distribution of the fillers

H01L 2224/2954 ・・・・・Coating

H01L 2224/29541 ・・・・・・Structure

H01L 2224/2955 ・・・・・・Shape

H01L 2224/29551 ・・・・・・・being non uniform

H01L 2224/29552 ・・・・・・・・comprising protrusions or indentations

H01L 2224/29553 ・・・・・・・・・at the bonding interface of the layer connector, i.e. on the surface of the layer connector

H01L 2224/2956 ・・・・・・Disposition

H01L 2224/29561 ・・・・・・・On the entire surface of the core, i.e. integral coating

H01L 2224/29562 ・・・・・・・On the entire exposed surface of the core

H01L 2224/29563 ・・・・・・・Only on parts of the surface of the core, i.e. partial coating

H01L 2224/29564 ・・・・・・・・Only on the bonding interface of the layer connector

H01L 2224/29565 ・・・・・・・・Only outside the bonding interface of the layer connector

H01L 2224/29566 ・・・・・・・・Both on and outside the bonding interface of the layer connector

H01L 2224/2957 ・・・・・・Single coating layer

H01L 2224/29575 ・・・・・・Plural coating layers

H01L 2224/29576 ・・・・・・・being mutually engaged together, e.g. through inserts

H01L 2224/29578 ・・・・・・・being disposed next to each other, e.g. side-to-side arrangements

H01L 2224/2958 ・・・・・・・being stacked

H01L 2224/29582 ・・・・・・・・Two-layer coating

H01L 2224/29583 ・・・・・・・・Three-layer coating

H01L 2224/29584 ・・・・・・・・Four-layer coating

H01L 2224/29599 ・・・・・・Material

H01L 2224/296 ・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/29601 ・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/29605 ・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/29609 ・・・・・・・・・Indium [In] as principal constituent

H01L 2224/29611 ・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/29613 ・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/29614 ・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/29616 ・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/29617 ・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/29618 ・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/2962 ・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/29623 ・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/29624 ・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/29638 ・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/29639 ・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/29644 ・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/29647 ・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/29649 ・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/29655 ・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/29657 ・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/2966 ・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/29663 ・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/29664 ・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/29666 ・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/29669 ・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/2967 ・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/29671 ・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/29672 ・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/29673 ・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/29676 ・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/29678 ・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/29679 ・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/2968 ・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/29681 ・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/29683 ・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/29684 ・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/29686 ・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/29687 ・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/29688)

H01L 2224/29688 ・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/2969 ・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/29691 ・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/29693 ・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/296 to H01L 2224/29691, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/29694 ・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/296 to H01L 2224/29691

H01L 2224/29695 ・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/296 to H01L 2224/29691

H01L 2224/29698 ・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/29699 ・・・・・・・・Material of the matrix

H01L 2224/297 ・・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/29701 ・・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/29705 ・・・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/29709 ・・・・・・・・・・・Indium [In] as principal constituent

H01L 2224/29711 ・・・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/29713 ・・・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/29714 ・・・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/29716 ・・・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/29717 ・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/29718 ・・・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/2972 ・・・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/29723 ・・・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/29724 ・・・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/29738 ・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/29739 ・・・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/29744 ・・・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/29747 ・・・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/29749 ・・・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/29755 ・・・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/29757 ・・・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/2976 ・・・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/29763 ・・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/29764 ・・・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/29766 ・・・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/29769 ・・・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/2977 ・・・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/29771 ・・・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/29772 ・・・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/29773 ・・・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/29776 ・・・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/29778 ・・・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/29779 ・・・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/2978 ・・・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/29781 ・・・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/29783 ・・・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/29784 ・・・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/29786 ・・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/29787 ・・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/29788)

H01L 2224/29788 ・・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/2979 ・・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/29791 ・・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/29793 ・・・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/297 to H01L 2224/29791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/29794 ・・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/297 to H01L 2224/29791

H01L 2224/29795 ・・・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/297 to H01L 2224/29791

H01L 2224/29798 ・・・・・・・・Fillers

H01L 2224/29799 ・・・・・・・・・Base material

H01L 2224/298 ・・・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/29801 ・・・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/29805 ・・・・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/29809 ・・・・・・・・・・・・Indium [In] as principal constituent

H01L 2224/29811 ・・・・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/29813 ・・・・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/29814 ・・・・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/29816 ・・・・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/29817 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/29818 ・・・・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/2982 ・・・・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/29823 ・・・・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/29824 ・・・・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/29838 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/29839 ・・・・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/29844 ・・・・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/29847 ・・・・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/29849 ・・・・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/29855 ・・・・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/29857 ・・・・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/2986 ・・・・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/29863 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/29864 ・・・・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/29866 ・・・・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/29869 ・・・・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/2987 ・・・・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/29871 ・・・・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/29872 ・・・・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/29873 ・・・・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/29876 ・・・・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/29878 ・・・・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/29879 ・・・・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/2988 ・・・・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/29881 ・・・・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/29883 ・・・・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/29884 ・・・・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/29886 ・・・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/29887 ・・・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/29888)

H01L 2224/29888 ・・・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/2989 ・・・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/29891 ・・・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/29893 ・・・・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/298 to H01L 2224/29891, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/29894 ・・・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/298 to H01L 2224/29891

H01L 2224/29895 ・・・・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/298 to H01L 2224/29891

H01L 2224/29898 ・・・・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/29899 ・・・・・・・・・Coating material

H01L 2224/299 ・・・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/29901 ・・・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/29905 ・・・・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/29909 ・・・・・・・・・・・・Indium [In] as principal constituent

H01L 2224/29911 ・・・・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/29913 ・・・・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/29914 ・・・・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/29916 ・・・・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/29917 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/29918 ・・・・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/2992 ・・・・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/29923 ・・・・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/29924 ・・・・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/29938 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/29939 ・・・・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/29944 ・・・・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/29947 ・・・・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/29949 ・・・・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/29955 ・・・・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/29957 ・・・・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/2996 ・・・・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/29963 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/29964 ・・・・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/29966 ・・・・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/29969 ・・・・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/2997 ・・・・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/29971 ・・・・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/29972 ・・・・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/29973 ・・・・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/29976 ・・・・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/29978 ・・・・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/29979 ・・・・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/2998 ・・・・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/29981 ・・・・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/29983 ・・・・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/29984 ・・・・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/29986 ・・・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/29987 ・・・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides [glass ceramics H01L 2224/29988]

H01L 2224/29988 ・・・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/2999 ・・・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/29991 ・・・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/29993 ・・・・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/299 to H01L 2224/29991, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/29994 ・・・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/299 to H01L 2224/29991

H01L 2224/29995 ・・・・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/299 to H01L 2224/29991

H01L 2224/29998 ・・・・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/29999 ・・・・・・・・・Shape or distribution of the fillers

H01L 2224/30 ・・・・of a plurality of layer connectors

H01L 2224/3001 ・・・・・Structure

H01L 2224/3003 ・・・・・・Layer connectors having different sizes, e.g. different heights or widths

H01L 2224/3005 ・・・・・Shape

H01L 2224/30051 ・・・・・・Layer connectors having different shapes

H01L 2224/301 ・・・・・Disposition

H01L 2224/30104 ・・・・・・relative to the bonding areas, e.g. bond pads, of the semiconductor or solid-state body

H01L 2224/3011 ・・・・・・・the layer connectors being bonded to at least one common bonding area

H01L 2224/3012 ・・・・・・Layout

H01L 2224/3013 ・・・・・・・Square or rectangular array

H01L 2224/30131 ・・・・・・・・being uniform, i.e. having a uniform pitch across the array

H01L 2224/30132 ・・・・・・・・being non uniform, i.e. having a non uniform pitch across the array

H01L 2224/30133 ・・・・・・・・with a staggered arrangement, e.g. depopulated array

H01L 2224/30134 ・・・・・・・・covering only portions of the surface to be connected

H01L 2224/30135 ・・・・・・・・・Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements

H01L 2224/30136 ・・・・・・・・・Covering only the central area of the surface to be connected, i.e. central arrangements

H01L 2224/3014 ・・・・・・・Circular array, i.e. array with radial symmetry

H01L 2224/30141 ・・・・・・・・being uniform, i.e. having a uniform pitch across the array

H01L 2224/30142 ・・・・・・・・being non uniform, i.e. having a non uniform pitch across the array

H01L 2224/30143 ・・・・・・・・covering only portions of the surface to be connected

H01L 2224/30145 ・・・・・・・・・Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements

H01L 2224/30146 ・・・・・・・・・Covering only the central area of the surface to be connected, i.e. central arrangements

H01L 2224/3015 ・・・・・・・Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry

H01L 2224/30151 ・・・・・・・・being uniform, i.e. having a uniform pitch across the array

H01L 2224/30152 ・・・・・・・・being non uniform, i.e. having a non uniform pitch across the array

H01L 2224/30153 ・・・・・・・・with a staggered arrangement, e.g. depopulated array

H01L 2224/30154 ・・・・・・・・covering only portions of the surface to be connected

H01L 2224/30155 ・・・・・・・・・Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements

H01L 2224/30156 ・・・・・・・・・Covering only the central area of the surface to be connected, i.e. central arrangements

H01L 2224/3016 ・・・・・・・Random layout, i.e. layout with no symmetry

H01L 2224/30163 ・・・・・・・・with a staggered arrangement

H01L 2224/30164 ・・・・・・・・covering only portions of the surface to be connected

H01L 2224/30165 ・・・・・・・・・Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements

H01L 2224/30166 ・・・・・・・・・Covering only the central area of the surface to be connected, i.e. central arrangements

H01L 2224/30177 ・・・・・・・Combinations of arrays with different layouts

H01L 2224/30179 ・・・・・・・Corner adaptations, i.e. disposition of the layer connectors at the corners of the semiconductor or solid-state body

H01L 2224/3018 ・・・・・・being disposed on at least two different sides of the body, e.g. dual array

H01L 2224/30181 ・・・・・・・On opposite sides of the body

H01L 2224/30183 ・・・・・・・On contiguous sides of the body

H01L 2224/305 ・・・・・Material

H01L 2224/30505 ・・・・・・Layer connectors having different materials

H01L 2224/3051 ・・・・・Function

H01L 2224/30515 ・・・・・・Layer connectors having different functions

H01L 2224/30517 ・・・・・・・including layer connectors providing primarily mechanical bonding

H01L 2224/30519 ・・・・・・・including layer connectors providing primarily thermal dissipation

H01L 2224/31 ・・・Structure, shape, material or disposition of the layer connectors after the connecting process

H01L 2224/32 ・・・・of an individual layer connector

H01L 2224/3201 ・・・・・Structure

H01L 2224/32012 ・・・・・・relative to the bonding area, e.g. bond pad

H01L 2224/32013 ・・・・・・・the layer connector being larger than the bonding area, e.g. bond pad

H01L 2224/32014 ・・・・・・・the layer connector being smaller than the bonding area, e.g. bond pad

H01L 2224/3205 ・・・・・Shape

H01L 2224/32052 ・・・・・・in top view

H01L 2224/32053 ・・・・・・・being non uniform along the layer connector

H01L 2224/32054 ・・・・・・・being rectangular or square

H01L 2224/32055 ・・・・・・・being circular or elliptic

H01L 2224/32056 ・・・・・・・comprising protrusions or indentations

H01L 2224/32057 ・・・・・・in side view

H01L 2224/32058 ・・・・・・・being non uniform along the layer connector

H01L 2224/32059 ・・・・・・・comprising protrusions or indentations

H01L 2224/3207 ・・・・・・of bonding interfaces, e.g. interlocking features

H01L 2224/321 ・・・・・Disposition

H01L 2224/32104 ・・・・・・relative to the bonding area, e.g. bond pad

H01L 2224/32105 ・・・・・・・the layer connector connecting bonding areas being not aligned with respect to each other

H01L 2224/32106 ・・・・・・・the layer connector connecting one bonding area to at least two respective bonding areas

H01L 2224/32111 ・・・・・・the layer connector being disposed in a recess of the surface (embedded layer connector T01L 224/32122)

H01L 2224/32112 ・・・・・・the layer connector being at least partially embedded in the surface

H01L 2224/32113 ・・・・・・the whole layer connector protruding from the surface

H01L 2224/3213 ・・・・・・the layer connector connecting within a semiconductor or solid-state body, i.e. connecting two bonding areas on the same semiconductor or solid-state body

H01L 2224/32135 ・・・・・・the layer connector connecting between different semiconductor or solid-state bodies i.e. chip-to-chip

H01L 2224/32137 ・・・・・・・the bodies being arranged next to each other, e.g. on a common substrate

H01L 2224/32141 ・・・・・・・the bodies being arranged on opposite sides of a substrate, e.g. mirror arrangements

H01L 2224/32145 ・・・・・・・the bodies being stacked

H01L 2224/32146 ・・・・・・・・the layer connector connecting to a via connection in the semiconductor or solid-state body

H01L 2224/32147 ・・・・・・・・the layer connector connecting to a bonding area disposed in a recess of the surface

H01L 2224/32148 ・・・・・・・・the layer connector connecting to a bonding area protruding from the surface

H01L 2224/32151 ・・・・・・the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive

H01L 2224/32153 ・・・・・・・the body and the item being arranged next to each other, e.g. on a common substrate

H01L 2224/32155 ・・・・・・・・the item being non-metallic, e.g. being an insulating substrate with or without metallisation

H01L 2224/32157 ・・・・・・・・・the layer connector connecting to a bond pad of the item

H01L 2224/3216 ・・・・・・・・・the layer connector connecting to a pin of the item

H01L 2224/32163 ・・・・・・・・・the layer connector connecting to a potential ring of the item

H01L 2224/32165 ・・・・・・・・・the layer connector connecting to a via metallisation of the item

H01L 2224/32167 ・・・・・・・・・the layer connector connecting to a bonding area disposed in a recess of the surface of the item

H01L 2224/32168 ・・・・・・・・・the layer connector connecting to a bonding area protruding from the surface of the item

H01L 2224/32175 ・・・・・・・・the item being metallic

H01L 2224/32183 ・・・・・・・・・the layer connector connecting to a potential ring of the item

H01L 2224/32187 ・・・・・・・・・the layer connector connecting to a bonding area disposed in a recess of the surface of the item

H01L 2224/32188 ・・・・・・・・・the layer connector connecting to a bonding area protruding from the surface of the item

H01L 2224/32195 ・・・・・・・・the item being a discrete passive component

H01L 2224/32197 ・・・・・・・・・the layer connector connecting to a bonding area disposed in a recess of the surface of the item

H01L 2224/32198 ・・・・・・・・・the layer connector connecting to a bonding area protruding from the surface of the item

H01L 2224/32221 ・・・・・・・the body and the item being stacked

H01L 2224/32225 ・・・・・・・・the item being non-metallic, e.g. insulating substrate with or without metallisation

H01L 2224/32227 ・・・・・・・・・the layer connector connecting to a bond pad of the item

H01L 2224/3223 ・・・・・・・・・the layer connector connecting to a pin of the item

H01L 2224/32233 ・・・・・・・・・the layer connector connecting to a potential ring of the item

H01L 2224/32235 ・・・・・・・・・the layer connector connecting to a via metallisation of the item

H01L 2224/32237 ・・・・・・・・・the layer connector connecting to a bonding area disposed in a recess of the surface of the item

H01L 2224/32238 ・・・・・・・・・the layer connector connecting to a bonding area protruding from the surface of the item

H01L 2224/3224 ・・・・・・・・・the layer connector connecting between the body and an opposite side of the item with respect to the body

H01L 2224/32245 ・・・・・・・・the item being metallic

H01L 2224/32253 ・・・・・・・・・the layer connector connecting to a potential ring of the item

H01L 2224/32257 ・・・・・・・・・the layer connector connecting to a bonding area disposed in a recess of the surface of the item

H01L 2224/32258 ・・・・・・・・・the layer connector connecting to a bonding area protruding from the surface of the item

H01L 2224/3226 ・・・・・・・・・the layer connector connecting between the body and an opposite side of the item with respect to the body

H01L 2224/32265 ・・・・・・・・the item being a discrete passive component

H01L 2224/32267 ・・・・・・・・・the layer connector connecting to a bonding area disposed in a recess of the surface of the item

H01L 2224/32268 ・・・・・・・・・the layer connector connecting to a bonding area protruding from the surface of the item

H01L 2224/325 ・・・・・Material

H01L 2224/32501 ・・・・・・at the bonding interface

H01L 2224/32502 ・・・・・・・comprising an eutectic alloy

H01L 2224/32503 ・・・・・・・comprising an intermetallic compound

H01L 2224/32505 ・・・・・・outside the bonding interface, e.g. in the bulk of the layer connector

H01L 2224/32506 ・・・・・・・comprising an eutectic alloy

H01L 2224/32507 ・・・・・・・comprising an intermetallic compound

H01L 2224/33 ・・・・of a plurality of layer connectors

H01L 2224/3301 ・・・・・Structure

H01L 2224/3303 ・・・・・・Layer connectors having different sizes, e.g. different heights or widths

H01L 2224/3305 ・・・・・Shape

H01L 2224/33051 ・・・・・・Layer connectors having different shapes

H01L 2224/33055 ・・・・・・・of their bonding interfaces

H01L 2224/331 ・・・・・Disposition

H01L 2224/33104 ・・・・・・relative to the bonding areas, e.g. bond pads

H01L 2224/33106 ・・・・・・・the layer connectors being bonded to at least one common bonding area

H01L 2224/33107 ・・・・・・・・the layer connectors connecting two common bonding areas

H01L 2224/3312 ・・・・・・Layout (layout of layer connectors prior to the connecting process H01L 2224/3012)

H01L 2224/3313 ・・・・・・・Square or rectangular array

H01L 2224/33132 ・・・・・・・・being non uniform, i.e. having a non uniform pitch across the array

H01L 2224/33133 ・・・・・・・・with a staggered arrangement, e.g. depopulated array

H01L 2224/33134 ・・・・・・・・covering only portions of the surface to be connected

H01L 2224/33135 ・・・・・・・・・Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements

H01L 2224/3314 ・・・・・・・Circular array, i.e. array with radial symmetry

H01L 2224/33142 ・・・・・・・・being non uniform, i.e. having a non uniform pitch across the array

H01L 2224/33143 ・・・・・・・・with a staggered arrangement

H01L 2224/33144 ・・・・・・・・covering only portions of the surface to be connected

H01L 2224/33145 ・・・・・・・・・Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements

H01L 2224/3315 ・・・・・・・Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry

H01L 2224/33151 ・・・・・・・・being uniform, i.e. having a uniform pitch across the array

H01L 2224/33152 ・・・・・・・・being non uniform, i.e. having a non uniform pitch across the array

H01L 2224/33153 ・・・・・・・・with a staggered arrangement, e.g. depopulated array

H01L 2224/33154 ・・・・・・・・covering only portions of the surface to be connected

H01L 2224/33155 ・・・・・・・・・Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements

H01L 2224/33156 ・・・・・・・・・Covering only the central area of the surface to be connected, i.e. central arrangements

H01L 2224/3316 ・・・・・・・Random layout, i.e. layout with no symmetry

H01L 2224/33163 ・・・・・・・・with a staggered arrangement

H01L 2224/33164 ・・・・・・・・covering only portions of the surface to be connected

H01L 2224/33165 ・・・・・・・・・Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements

H01L 2224/33177 ・・・・・・・Combinations of arrays with different layouts

H01L 2224/33179 ・・・・・・・Corner adaptations, i.e. disposition of the layer connectors at the corners of the semiconductor or solid-state body

H01L 2224/3318 ・・・・・・being disposed on at least two different sides of the body, e.g. dual array

H01L 2224/33181 ・・・・・・・On opposite sides of the body

H01L 2224/33183 ・・・・・・・On contiguous sides of the body

H01L 2224/335 ・・・・・Material

H01L 2224/33505 ・・・・・・Layer connectors having different materials

H01L 2224/3351 ・・・・・Function

H01L 2224/33515 ・・・・・・Layer connectors having different functions

H01L 2224/33517 ・・・・・・・including layer connectors providing primarily mechanical support

H01L 2224/33519 ・・・・・・・including layer connectors providing primarily thermal dissipation

H01L 2224/34 ・・Strap connectors, e.g. copper straps for grounding power devices; Manufacturing methods related thereto

H01L 2224/35 ・・・Manufacturing methods

H01L 2224/35001 ・・・・Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate

H01L 2224/351 ・・・・Pre-treatment of the preform connector

H01L 2224/3512 ・・・・・Applying permanent coating, e.g. in-situ coating

H01L 2224/35125 ・・・・・・Plating, e.g. electroplating, electroless plating

H01L 2224/352 ・・・・Mechanical processes

H01L 2224/3521 ・・・・・Pulling

H01L 2224/355 ・・・・Modification of a pre-existing material

H01L 2224/3551 ・・・・・Sintering

H01L 2224/3552 ・・・・・Anodisation

H01L 2224/357 ・・・・Involving monitoring, e.g. feedback loop

H01L 2224/358 ・・・・Post-treatment of the connector

H01L 2224/3581 ・・・・・Cleaning , e.g. oxide removal step, desmearing

H01L 2224/3582 ・・・・・Applying permanent coating, e.g. in-situ coating

H01L 2224/35821 ・・・・・・Spray coating

H01L 2224/35822 ・・・・・・Dip coating

H01L 2224/35823 ・・・・・・Immersion coating, e.g. solder bath

H01L 2224/35824 ・・・・・・Chemical solution deposition [CSD], i.e. using a liquid precursor

H01L 2224/35825 ・・・・・・Plating, e.g. electroplating, electroless plating

H01L 2224/35826 ・・・・・・Physical vapour deposition [PVD], e.g. evaporation, sputtering

H01L 2224/35827 ・・・・・・Chemical vapour deposition [CVD], e.g. laser CVD

H01L 2224/3583 ・・・・・Reworking

H01L 2224/35831 ・・・・・・with a chemical process, e.g. with etching of the connector

H01L 2224/35847 ・・・・・・with a mechanical process, e.g. with flattening of the connector

H01L 2224/35848 ・・・・・Thermal treatments, e.g. annealing, controlled cooling

H01L 2224/35985 ・・・・Methods of manufacturing strap connectors involving a specific sequence of method steps

H01L 2224/35986 ・・・・・with repetition of the same manufacturing step

H01L 2224/36 ・・・Structure, shape, material or disposition of the strap connectors prior to the connecting process

H01L 2224/37 ・・・・of an individual strap connector

H01L 2224/37001 ・・・・・Core members of the connector

H01L 2224/37005 ・・・・・・Structure

H01L 2224/3701 ・・・・・・Shape

H01L 2224/37011 ・・・・・・・comprising apertures or cavities

H01L 2224/37012 ・・・・・・・Cross-sectional shape

H01L 2224/37013 ・・・・・・・・being non uniform along the connector

H01L 2224/3702 ・・・・・・Disposition

H01L 2224/37025 ・・・・・・Plural core members

H01L 2224/37026 ・・・・・・・being mutually engaged together, e.g. through inserts

H01L 2224/37028 ・・・・・・・Side-to-side arrangements

H01L 2224/3703 ・・・・・・・Stacked arrangements

H01L 2224/37032 ・・・・・・・・Two-layer arrangements

H01L 2224/37033 ・・・・・・・・Three-layer arrangements

H01L 2224/37034 ・・・・・・・・Four-layer arrangements

H01L 2224/37099 ・・・・・・Material

H01L 2224/371 ・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/37101 ・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/37105 ・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/37109 ・・・・・・・・・Indium [In] as principal constituent

H01L 2224/37111 ・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/37113 ・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/37114 ・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/37116 ・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/37117 ・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/37118 ・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/3712 ・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/37123 ・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/37124 ・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/37138 ・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/37139 ・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/37144 ・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/37147 ・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/37149 ・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/37155 ・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/37157 ・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/3716 ・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/37163 ・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/37164 ・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/37166 ・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/37169 ・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/3717 ・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/37171 ・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/37172 ・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/37173 ・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/37176 ・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/37178 ・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/37179 ・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/3718 ・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/37181 ・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/37183 ・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/37184 ・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/37186 ・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/37187 ・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/37188)

H01L 2224/37188 ・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/3719 ・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/37191 ・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/37193 ・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/371 to H01L 2224/37191, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/37194 ・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/371 to H01L 2224/37191

H01L 2224/37195 ・・・・・・・with a principal constituent of the material being a gas not provided for in groups T01L 224/44100 to T01L 224/44191

H01L 2224/37198 ・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/37199 ・・・・・・・・Material of the matrix

H01L 2224/372 ・・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/37201 ・・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/37205 ・・・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/37209 ・・・・・・・・・・・Indium [In] as principal constituent

H01L 2224/37211 ・・・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/37213 ・・・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/37214 ・・・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/37216 ・・・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/37217 ・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/37218 ・・・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/3722 ・・・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/37223 ・・・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/37224 ・・・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/37238 ・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/37239 ・・・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/37244 ・・・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/37247 ・・・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/37249 ・・・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/37255 ・・・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/37257 ・・・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/3726 ・・・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/37263 ・・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/37264 ・・・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/37266 ・・・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/37269 ・・・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/3727 ・・・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/37271 ・・・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/37272 ・・・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/37273 ・・・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/37276 ・・・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/37278 ・・・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/37279 ・・・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/3728 ・・・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/37281 ・・・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/37283 ・・・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/37284 ・・・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/37286 ・・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/37287 ・・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides [glass ceramics H01L 2224/37288]

H01L 2224/37288 ・・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/3729 ・・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/37291 ・・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/37293 ・・・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/372 to H01L 2224/37291, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/37294 ・・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/372 to H01L 2224/37291

H01L 2224/37295 ・・・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/372 to H01L 2224/37291

H01L 2224/37298 ・・・・・・・・Fillers

H01L 2224/37299 ・・・・・・・・・Base material

H01L 2224/373 ・・・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/37301 ・・・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/37305 ・・・・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/37309 ・・・・・・・・・・・・Indium [In] as principal constituent

H01L 2224/37311 ・・・・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/37313 ・・・・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/37314 ・・・・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/37316 ・・・・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/37317 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/37318 ・・・・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/3732 ・・・・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/37323 ・・・・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/37324 ・・・・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/37338 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/37339 ・・・・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/37344 ・・・・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/37347 ・・・・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/37349 ・・・・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/37355 ・・・・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/37357 ・・・・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/3736 ・・・・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/37363 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/37364 ・・・・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/37366 ・・・・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/37369 ・・・・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/3737 ・・・・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/37371 ・・・・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/37372 ・・・・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/37373 ・・・・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/37376 ・・・・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/37378 ・・・・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/37379 ・・・・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/3738 ・・・・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/37381 ・・・・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/37383 ・・・・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/37384 ・・・・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/37386 ・・・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/37387 ・・・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/37388)

H01L 2224/37388 ・・・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/3739 ・・・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/37391 ・・・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/37393 ・・・・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/373 to H01L 2224/37391, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/37394 ・・・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/373 to H01L 2224/37391

H01L 2224/37395 ・・・・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/373 to H01L 2224/37391

H01L 2224/37398 ・・・・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/37399 ・・・・・・・・・Coating material

H01L 2224/374 ・・・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/37401 ・・・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/37405 ・・・・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/37409 ・・・・・・・・・・・・Indium [In] as principal constituent

H01L 2224/37411 ・・・・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/37413 ・・・・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/37414 ・・・・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/37416 ・・・・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/37417 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/37418 ・・・・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/3742 ・・・・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/37423 ・・・・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/37424 ・・・・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/37438 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/37439 ・・・・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/37444 ・・・・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/37447 ・・・・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/37449 ・・・・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/37455 ・・・・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/37457 ・・・・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/3746 ・・・・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/37463 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/37464 ・・・・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/37466 ・・・・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/37469 ・・・・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/3747 ・・・・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/37471 ・・・・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/37472 ・・・・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/37473 ・・・・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/37476 ・・・・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/37478 ・・・・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/37479 ・・・・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/3748 ・・・・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/37481 ・・・・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/37483 ・・・・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/37484 ・・・・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/37486 ・・・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/37487 ・・・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides [glass ceramics H01L 2224/37488]

H01L 2224/37488 ・・・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/3749 ・・・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/37491 ・・・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/37493 ・・・・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/374 to H01L 2224/37491, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/37494 ・・・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/374 to H01L 2224/37491

H01L 2224/37495 ・・・・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/374 to H01L 2224/37491

H01L 2224/37498 ・・・・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/37499 ・・・・・・・・・Shape or distribution of the fillers

H01L 2224/3754 ・・・・・Coating

H01L 2224/37541 ・・・・・・Structure

H01L 2224/3755 ・・・・・・Shape

H01L 2224/3756 ・・・・・・Disposition, e.g. coating on a part of the core

H01L 2224/37565 ・・・・・・Single coating layer

H01L 2224/3757 ・・・・・・Plural coating layers

H01L 2224/37572 ・・・・・・・Two-layer stack coating

H01L 2224/37573 ・・・・・・・Three-layer stack coating

H01L 2224/37574 ・・・・・・・Four-layer stack coating

H01L 2224/37576 ・・・・・・・being mutually engaged together, e.g. through inserts

H01L 2224/37578 ・・・・・・・being disposed next to each other, e.g. side-to-side arrangements

H01L 2224/37599 ・・・・・・Material

H01L 2224/376 ・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/37601 ・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/37605 ・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/37609 ・・・・・・・・・Indium [In] as principal constituent

H01L 2224/37611 ・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/37613 ・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/37614 ・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/37616 ・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/37617 ・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/37618 ・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/3762 ・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/37623 ・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/37624 ・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/37638 ・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/37639 ・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/37644 ・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/37647 ・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/37649 ・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/37655 ・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/37657 ・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/3766 ・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/37663 ・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/37664 ・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/37666 ・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/37669 ・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/3767 ・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/37671 ・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/37672 ・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/37673 ・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/37676 ・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/37678 ・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/37679 ・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/3768 ・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/37681 ・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/37683 ・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/37684 ・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/37686 ・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/37687 ・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/37688)

H01L 2224/37688 ・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/3769 ・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/37691 ・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/37693 ・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/376 to H01L 2224/37691, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/37694 ・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/376 to H01L 2224/37691

H01L 2224/37695 ・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/376 to H01L 2224/37691

H01L 2224/37698 ・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/37699 ・・・・・・・・Material of the matrix

H01L 2224/377 ・・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/37701 ・・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/37705 ・・・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/37709 ・・・・・・・・・・・Indium [In] as principal constituent

H01L 2224/37711 ・・・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/37713 ・・・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/37714 ・・・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/37716 ・・・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/37717 ・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/37718 ・・・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/3772 ・・・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/37723 ・・・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/37724 ・・・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/37738 ・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/37739 ・・・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/37744 ・・・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/37747 ・・・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/37749 ・・・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/37755 ・・・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/37757 ・・・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/3776 ・・・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/37763 ・・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/37764 ・・・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/37766 ・・・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/37769 ・・・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/3777 ・・・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/37771 ・・・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/37772 ・・・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/37773 ・・・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/37776 ・・・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/37778 ・・・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/37779 ・・・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/3778 ・・・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/37781 ・・・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/37783 ・・・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/37784 ・・・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/37786 ・・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/37787 ・・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/37788)

H01L 2224/37788 ・・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/3779 ・・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/37791 ・・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/37793 ・・・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/377 to H01L 2224/37791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/37794 ・・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/377 to H01L 2224/37791

H01L 2224/37795 ・・・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/377 to H01L 2224/37791

H01L 2224/37798 ・・・・・・・・Fillers

H01L 2224/37799 ・・・・・・・・・Base material

H01L 2224/378 ・・・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/37801 ・・・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/37805 ・・・・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/37809 ・・・・・・・・・・・・Indium [In] as principal constituent

H01L 2224/37811 ・・・・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/37813 ・・・・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/37814 ・・・・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/37816 ・・・・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/37817 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/37818 ・・・・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/3782 ・・・・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/37823 ・・・・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/37824 ・・・・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/37838 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/37839 ・・・・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/37844 ・・・・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/37847 ・・・・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/37849 ・・・・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/37855 ・・・・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/37857 ・・・・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/3786 ・・・・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/37863 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/37864 ・・・・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/37866 ・・・・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/37869 ・・・・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/3787 ・・・・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/37871 ・・・・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/37872 ・・・・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/37873 ・・・・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/37876 ・・・・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/37878 ・・・・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/37879 ・・・・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/3788 ・・・・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/37881 ・・・・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/37883 ・・・・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/37884 ・・・・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/37886 ・・・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/37887 ・・・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/37888)

H01L 2224/37888 ・・・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/3789 ・・・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/37891 ・・・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/37893 ・・・・・・・・・・with a principal constituent of the material being a solid not provided for in groups T01L 224/44800 to T01L 224/44891, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/37894 ・・・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups T01L 224/44800 to T01L 224/44891

H01L 2224/37895 ・・・・・・・・・・with a principal constituent of the material being a gas not provided for in groups T01L 224/44800 to T01L 224/44891

H01L 2224/37898 ・・・・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/37899 ・・・・・・・・・Coating material

H01L 2224/379 ・・・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/37901 ・・・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/37905 ・・・・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/37909 ・・・・・・・・・・・・Indium [In] as principal constituent

H01L 2224/37911 ・・・・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/37913 ・・・・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/37914 ・・・・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/37916 ・・・・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/37917 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/37918 ・・・・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/3792 ・・・・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/37923 ・・・・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/37924 ・・・・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/37938 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/37939 ・・・・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/37944 ・・・・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/37947 ・・・・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/37949 ・・・・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/37955 ・・・・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/37957 ・・・・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/3796 ・・・・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/37963 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/37964 ・・・・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/37966 ・・・・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/37969 ・・・・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/3797 ・・・・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/37971 ・・・・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/37972 ・・・・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/37973 ・・・・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/37976 ・・・・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/37978 ・・・・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/37979 ・・・・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/3798 ・・・・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/37981 ・・・・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/37983 ・・・・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/37984 ・・・・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/37986 ・・・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/37987 ・・・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/37988)

H01L 2224/37988 ・・・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/3799 ・・・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/37991 ・・・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/37993 ・・・・・・・・・・with a principal constituent of the material being a solid not provided for in groups T01L 224/44900 to T01L 224/44991, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/37994 ・・・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups T01L 224/44900 to T01L 224/44991

H01L 2224/37995 ・・・・・・・・・・with a principal constituent of the material being a gas not provided for in groups T01L 224/44900 to T01L 224/44991

H01L 2224/37998 ・・・・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/37999 ・・・・・・・・・Shape or distribution of the fillers

H01L 2224/38 ・・・・of a plurality of strap connectors

H01L 2224/39 ・・・Structure, shape, material or disposition of the strap connectors after the connecting process

H01L 2224/40 ・・・・of an individual strap connector

H01L 2224/4001 ・・・・・Structure

H01L 2224/4005 ・・・・・Shape

H01L 2224/4007 ・・・・・・of bonding interfaces, e.g. interlocking features

H01L 2224/4009 ・・・・・・Loop shape

H01L 2224/40091 ・・・・・・・Arched

H01L 2224/40095 ・・・・・・・Kinked

H01L 2224/401 ・・・・・Disposition

H01L 2224/40101 ・・・・・・Connecting bonding areas at the same height, e.g. horizontal bond

H01L 2224/40105 ・・・・・・Connecting bonding areas at different heights

H01L 2224/40106 ・・・・・・・the connector being orthogonal to a side surface of the semiconductor or solid-state body, e.g. parallel layout

H01L 2224/40108 ・・・・・・・the connector not being orthogonal to a side surface of the semiconductor or solid-state body, e.g. fanned-out connectors, radial layout

H01L 2224/40111 ・・・・・・・the strap connector extending above another semiconductor or solid-state body

H01L 2224/4013 ・・・・・・Connecting within a semiconductor or solid-state body i.e. fly strap, bridge strap

H01L 2224/40132 ・・・・・・・with an intermediate bond, e.g. continuous strap daisy chain

H01L 2224/40135 ・・・・・・Connecting between different semiconductor or solid-state bodies i.e. chip-to-chip

H01L 2224/40137 ・・・・・・・the bodies being arranged next to each other, e.g. on a common substrate

H01L 2224/40139 ・・・・・・・・with an intermediate bond, e.g. continuous strap daisy chain

H01L 2224/40141 ・・・・・・・the bodies being arranged on opposite sides of a substrate, e.g. mirror arrangements

H01L 2224/40145 ・・・・・・・the bodies being stacked

H01L 2224/40147 ・・・・・・・・with an intermediate bond, e.g. continuous strap daisy chain

H01L 2224/40151 ・・・・・・Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive

H01L 2224/40153 ・・・・・・・the body and the item being arranged next to each other, e.g. on a common substrate

H01L 2224/40155 ・・・・・・・・the item being non-metallic, e.g. insulating substrate with or without metallisation

H01L 2224/40157 ・・・・・・・・・Connecting the strap to a bond pad of the item

H01L 2224/40158 ・・・・・・・・・・the bond pad being disposed in a recess of the surface of the item

H01L 2224/40159 ・・・・・・・・・・the bond pad protruding from the surface of the item

H01L 2224/4016 ・・・・・・・・・Connecting the strap to a pin of the item

H01L 2224/40163 ・・・・・・・・・Connecting the strap to a potential ring of the item

H01L 2224/40165 ・・・・・・・・・Connecting the strap to a via metallisation of the item

H01L 2224/40175 ・・・・・・・・the item being metallic

H01L 2224/40177 ・・・・・・・・・Connecting the strap to a bond pad of the item

H01L 2224/40178 ・・・・・・・・・・the bond pad being disposed in a recess of the surface of the item

H01L 2224/40179 ・・・・・・・・・・the bond pad protruding from the surface of the item

H01L 2224/40183 ・・・・・・・・・Connecting the strap to a potential ring of the item

H01L 2224/40195 ・・・・・・・・the item being a discrete passive component

H01L 2224/40221 ・・・・・・・the body and the item being stacked

H01L 2224/40225 ・・・・・・・・the item being non-metallic, e.g. insulating substrate with or without metallisation

H01L 2224/40227 ・・・・・・・・・Connecting the strap to a bond pad of the item

H01L 2224/40228 ・・・・・・・・・・the bond pad being disposed in a recess of the surface of the item

H01L 2224/40229 ・・・・・・・・・・the bond pad protruding from the surface of the item

H01L 2224/4023 ・・・・・・・・・Connecting the strap to a pin of the item

H01L 2224/40233 ・・・・・・・・・Connecting the strap to a potential ring of the item

H01L 2224/40235 ・・・・・・・・・Connecting the strap to a via metallisation of the item

H01L 2224/40237 ・・・・・・・・・Connecting the strap to a die pad of the item

H01L 2224/4024 ・・・・・・・・・Connecting between the body and an opposite side of the item with respect to the body

H01L 2224/40245 ・・・・・・・・the item being metallic

H01L 2224/40247 ・・・・・・・・・Connecting the strap to a bond pad of the item

H01L 2224/40248 ・・・・・・・・・・the bond pad being disposed in a recess of the surface of the item

H01L 2224/40249 ・・・・・・・・・・the bond pad protruding from the surface of the item

H01L 2224/40253 ・・・・・・・・・Connecting the strap to a potential ring of the item

H01L 2224/40257 ・・・・・・・・・Connecting the strap to a die pad of the item

H01L 2224/4026 ・・・・・・・・・Connecting between the body and an opposite side of the item with respect to the body

H01L 2224/40265 ・・・・・・・・the item being a discrete passive component

H01L 2224/404 ・・・・・Connecting portions

H01L 2224/4046 ・・・・・・with multiple bonds on the same bonding area

H01L 2224/40475 ・・・・・・connected to auxiliary connecting means on the bonding areas

H01L 2224/40477 ・・・・・・・being a pre-ball (i.e. a ball formed by capillary bonding)

H01L 2224/40479 ・・・・・・・・on the semiconductor or solid-state body

H01L 2224/4048 ・・・・・・・・outside the semiconductor or solid-state body

H01L 2224/40484 ・・・・・・・・being a plurality of pre-balls disposed side-to-side

H01L 2224/40486 ・・・・・・・・・on the semiconductor or solid-state body

H01L 2224/40487 ・・・・・・・・・outside the semiconductor or solid-state body

H01L 2224/40491 ・・・・・・・being an additional member attached to the bonding area through an adhesive or solder, e.g. buffer pad

H01L 2224/40496 ・・・・・・・not being interposed between the connector and the bonding area

H01L 2224/40499 ・・・・・・・Material of the auxiliary connecting means

H01L 2224/405 ・・・・・Material

H01L 2224/40505 ・・・・・・at the bonding interface

H01L 2224/40506 ・・・・・・・comprising an eutectic alloy

H01L 2224/40507 ・・・・・・・comprising an intermetallic compound

H01L 2224/4051 ・・・・・・・Morphology of the connecting portion, e.g. grain size distribution

H01L 2224/4052 ・・・・・・・Bonding interface between the connecting portion and the bonding area

H01L 2224/4099 ・・・・・Auxiliary members for strap connectors, e.g. flow-barriers, spacers

H01L 2224/40991 ・・・・・・being formed on the semiconductor or solid-state body to be connected

H01L 2224/40992 ・・・・・・・Reinforcing structures

H01L 2224/40993 ・・・・・・・Alignment aids

H01L 2224/40996 ・・・・・・being formed on an item to be connected not being a semiconductor or solid-state body

H01L 2224/40997 ・・・・・・・Reinforcing structures

H01L 2224/40998 ・・・・・・・Alignment aids

H01L 2224/41 ・・・・of a plurality of strap connectors

H01L 2224/4101 ・・・・・Structure

H01L 2224/4103 ・・・・・・Connectors having different sizes

H01L 2224/4105 ・・・・・Shape

H01L 2224/41051 ・・・・・・Connectors having different shapes

H01L 2224/41052 ・・・・・・・Different loop heights

H01L 2224/411 ・・・・・Disposition

H01L 2224/41105 ・・・・・・Connecting at different heights

H01L 2224/41107 ・・・・・・・on the semiconductor or solid-state body being

H01L 2224/41109 ・・・・・・・outside the semiconductor or solid-state body

H01L 2224/4111 ・・・・・・the connectors being bonded to at least one common bonding area, e.g. daisy chain

H01L 2224/41111 ・・・・・・・the connectors connecting two common bonding areas

H01L 2224/41112 ・・・・・・・the connectors connecting a common bonding area on the semiconductor or solid-state body to different bonding areas outside the body, e.g. diverging straps

H01L 2224/41113 ・・・・・・・the connectors connecting different bonding areas on the semiconductor or solid-state body to a common bonding area outside the body, e.g. converging straps

H01L 2224/4112 ・・・・・・Layout

H01L 2224/4117 ・・・・・・・Crossed straps

H01L 2224/41171 ・・・・・・・Fan-out arrangements

H01L 2224/41173 ・・・・・・・・Radial fan-out arrangements

H01L 2224/41174 ・・・・・・・Stacked arrangements

H01L 2224/41175 ・・・・・・・Parallel arrangements

H01L 2224/41176 ・・・・・・・・Strap connectors having the same loop shape and height

H01L 2224/41177 ・・・・・・・Combinations of different arrangements

H01L 2224/41179 ・・・・・・・Corner adaptations, i.e. disposition of the strap connectors at the corners of the semiconductor or solid-state body

H01L 2224/4118 ・・・・・・being disposed on at least two different sides of the body, e.g. dual array

H01L 2224/414 ・・・・・Connecting portions

H01L 2224/4141 ・・・・・・the connecting portions being stacked

H01L 2224/41421 ・・・・・・・on the semiconductor or solid-state body

H01L 2224/41422 ・・・・・・・outside the semiconductor or solid-state body

H01L 2224/4143 ・・・・・・the connecting portions being staggered

H01L 2224/415 ・・・・・Material

H01L 2224/41505 ・・・・・・Connectors having different materials

H01L 2224/42 ・・Wire connectors; Manufacturing methods related thereto

H01L 2224/43 ・・・Manufacturing methods

H01L 2224/43001 ・・・・Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate

H01L 2224/431 ・・・・Pre-treatment of the preform connector

H01L 2224/4312 ・・・・・Applying permanent coating, e.g. in-situ coating

H01L 2224/43125 ・・・・・・Plating, e.g. electroplating, electroless plating

H01L 2224/432 ・・・・Mechanical processes

H01L 2224/4321 ・・・・・Pulling

H01L 2224/435 ・・・・Modification of a pre-existing material

H01L 2224/4351 ・・・・・Sintering

H01L 2224/4352 ・・・・・Anodisation

H01L 2224/437 ・・・・Involving monitoring, e.g. feedback loop

H01L 2224/438 ・・・・Post-treatment of the connector

H01L 2224/4381 ・・・・・Cleaning , e.g. oxide removal step, desmearing

H01L 2224/4382 ・・・・・Applying permanent coating, e.g. in-situ coating

H01L 2224/43821 ・・・・・・Spray coating

H01L 2224/43822 ・・・・・・Dip coating

H01L 2224/43823 ・・・・・・Immersion coating, e.g. solder bath

H01L 2224/43824 ・・・・・・Chemical solution deposition [CSD], i.e. using a liquid precursor

H01L 2224/43825 ・・・・・・Plating, e.g. electroplating, electroless plating

H01L 2224/43826 ・・・・・・Physical vapour deposition [PVD], e.g. evaporation, sputtering

H01L 2224/43827 ・・・・・・Chemical vapour deposition [CVD], e.g. laser CVD

H01L 2224/4383 ・・・・・Reworking

H01L 2224/43831 ・・・・・・with a chemical process, e.g. with etching of the connector

H01L 2224/43847 ・・・・・・with a mechanical process, e.g. with flattening of the connector

H01L 2224/43848 ・・・・・Thermal treatments, e.g. annealing, controlled cooling

H01L 2224/43985 ・・・・Methods of manufacturing wire connectors involving a specific sequence of method steps

H01L 2224/43986 ・・・・・with repetition of the same manufacturing step

H01L 2224/44 ・・・Structure, shape, material or disposition of the wire connectors prior to the connecting process

H01L 2224/45 ・・・・of an individual wire connector

H01L 2224/45001 ・・・・・Core members of the connector

H01L 2224/45005 ・・・・・・Structure

H01L 2224/4501 ・・・・・・Shape

H01L 2224/45012 ・・・・・・・Cross-sectional shape

H01L 2224/45013 ・・・・・・・・being non uniform along the connector

H01L 2224/45014 ・・・・・・・・Ribbon connectors, e.g. rectangular cross-section

H01L 2224/45015 ・・・・・・・・being circular

H01L 2224/45016 ・・・・・・・・being elliptic

H01L 2224/4502 ・・・・・・Disposition

H01L 2224/45025 ・・・・・・Plural core members

H01L 2224/45026 ・・・・・・・being mutually engaged together, e.g. through inserts

H01L 2224/45028 ・・・・・・・Side-to-side arrangements

H01L 2224/4503 ・・・・・・・Stacked arrangements

H01L 2224/45032 ・・・・・・・・Two-layer arrangements

H01L 2224/45033 ・・・・・・・・Three-layer arrangements

H01L 2224/45034 ・・・・・・・・Four-layer arrangements

H01L 2224/45099 ・・・・・・Material

H01L 2224/451 ・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof

H01L 2224/45101 ・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/45105 ・・・・・・・・・Gallium (Ga) as principal constituent

H01L 2224/45109 ・・・・・・・・・Indium (In) as principal constituent

H01L 2224/45111 ・・・・・・・・・Tin (Sn) as principal constituent

H01L 2224/45113 ・・・・・・・・・Bismuth (Bi) as principal constituent

H01L 2224/45114 ・・・・・・・・・Thallium (Tl) as principal constituent

H01L 2224/45116 ・・・・・・・・・Lead (Pb) as principal constituent

H01L 2224/45117 ・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/45118 ・・・・・・・・・Zinc (Zn) as principal constituent

H01L 2224/4512 ・・・・・・・・・Antimony (Sb) as principal constituent

H01L 2224/45123 ・・・・・・・・・Magnesium (Mg) as principal constituent

H01L 2224/45124 ・・・・・・・・・Aluminium (Al) as principal constituent

H01L 2224/45138 ・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/45139 ・・・・・・・・・Silver (Ag) as principal constituent

H01L 2224/45144 ・・・・・・・・・Gold (Au) as principal constituent

H01L 2224/45147 ・・・・・・・・・Copper (Cu) as principal constituent

H01L 2224/45149 ・・・・・・・・・Manganese (Mn) as principal constituent

H01L 2224/45155 ・・・・・・・・・Nickel (Ni) as principal constituent

H01L 2224/45157 ・・・・・・・・・Cobalt (Co) as principal constituent

H01L 2224/4516 ・・・・・・・・・Iron (Fe) as principal constituent

H01L 2224/45163 ・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/45164 ・・・・・・・・・Palladium (Pd) as principal constituent

H01L 2224/45166 ・・・・・・・・・Titanium (Ti) as principal constituent

H01L 2224/45169 ・・・・・・・・・Platinum (Pt) as principal constituent

H01L 2224/4517 ・・・・・・・・・Zirconium (Zr) as principal constituent

H01L 2224/45171 ・・・・・・・・・Chromium (Cr) as principal constituent

H01L 2224/45172 ・・・・・・・・・Vanadium (V) as principal constituent

H01L 2224/45173 ・・・・・・・・・Rhodium (Rh) as principal constituent

H01L 2224/45176 ・・・・・・・・・Ruthenium (Ru) as principal constituent

H01L 2224/45178 ・・・・・・・・・Iridium (Ir) as principal constituent

H01L 2224/45179 ・・・・・・・・・Niobium (Nb) as principal constituent

H01L 2224/4518 ・・・・・・・・・Molybdenum (Mo) as principal constituent

H01L 2224/45181 ・・・・・・・・・Tantalum (Ta) as principal constituent

H01L 2224/45183 ・・・・・・・・・Rhenium (Re) as principal constituent

H01L 2224/45184 ・・・・・・・・・Tungsten (W) as principal constituent

H01L 2224/45186 ・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/45187 ・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/45188)

H01L 2224/45188 ・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/4519 ・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/45191 ・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/45193 ・・・・・・・with a principal constituent of the material being a solid not provided for in groups T01L 224/44100 to T01L 224/44191, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/45194 ・・・・・・・with a principal constituent of the material being a liquid not provided for in groups T01L 224/44100 to T01L 224/44191

H01L 2224/45195 ・・・・・・・with a principal constituent of the material being a gas not provided for in groups T01L 224/44100 to T01L 224/44191

H01L 2224/45198 ・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/45199 ・・・・・・・・Material of the matrix

H01L 2224/452 ・・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof

H01L 2224/45201 ・・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/45205 ・・・・・・・・・・・Gallium (Ga) as principal constituent

H01L 2224/45209 ・・・・・・・・・・・Indium (In) as principal constituent

H01L 2224/45211 ・・・・・・・・・・・Tin (Sn) as principal constituent

H01L 2224/45213 ・・・・・・・・・・・Bismuth (Bi) as principal constituent

H01L 2224/45214 ・・・・・・・・・・・Thallium (Tl) as principal constituent

H01L 2224/45216 ・・・・・・・・・・・Lead (Pb) as principal constituent

H01L 2224/45217 ・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/45218 ・・・・・・・・・・・Zinc (Zn) as principal constituent

H01L 2224/4522 ・・・・・・・・・・・Antimony (Sb) as principal constituent

H01L 2224/45223 ・・・・・・・・・・・Magnesium (Mg) as principal constituent

H01L 2224/45224 ・・・・・・・・・・・Aluminium (Al) as principal constituent

H01L 2224/45238 ・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/45239 ・・・・・・・・・・・Silver (Ag) as principal constituent

H01L 2224/45244 ・・・・・・・・・・・Gold (Au) as principal constituent

H01L 2224/45247 ・・・・・・・・・・・Copper (Cu) as principal constituent

H01L 2224/45249 ・・・・・・・・・・・Manganese (Mn) as principal constituent

H01L 2224/45255 ・・・・・・・・・・・Nickel (Ni) as principal constituent

H01L 2224/45257 ・・・・・・・・・・・Cobalt (Co) as principal constituent

H01L 2224/4526 ・・・・・・・・・・・Iron (Fe) as principal constituent

H01L 2224/45263 ・・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/45264 ・・・・・・・・・・・Palladium (Pd) as principal constituent

H01L 2224/45266 ・・・・・・・・・・・Titanium (Ti) as principal constituent

H01L 2224/45269 ・・・・・・・・・・・Platinum (Pt) as principal constituent

H01L 2224/4527 ・・・・・・・・・・・Zirconium (Zr) as principal constituent

H01L 2224/45271 ・・・・・・・・・・・Chromium (Cr) as principal constituent

H01L 2224/45272 ・・・・・・・・・・・Vanadium (V) as principal constituent

H01L 2224/45273 ・・・・・・・・・・・Rhodium (Rh) as principal constituent

H01L 2224/45276 ・・・・・・・・・・・Ruthenium (Ru) as principal constituent

H01L 2224/45278 ・・・・・・・・・・・Iridium (Ir) as principal constituent

H01L 2224/45279 ・・・・・・・・・・・Niobium (Nb) as principal constituent

H01L 2224/4528 ・・・・・・・・・・・Molybdenum (Mo) as principal constituent

H01L 2224/45281 ・・・・・・・・・・・Tantalum (Ta) as principal constituent

H01L 2224/45283 ・・・・・・・・・・・Rhenium (Re) as principal constituent

H01L 2224/45284 ・・・・・・・・・・・Tungsten (W) as principal constituent

H01L 2224/45286 ・・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/45287 ・・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/45288)

H01L 2224/45288 ・・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/4529 ・・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/45291 ・・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/45293 ・・・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/452 to H01L 2224/45291, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/45294 ・・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/452 to H01L 2224/45291

H01L 2224/45295 ・・・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/452 to H01L 2224/45291

H01L 2224/45298 ・・・・・・・・Fillers

H01L 2224/45299 ・・・・・・・・・Base material

H01L 2224/453 ・・・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof

H01L 2224/45301 ・・・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/45305 ・・・・・・・・・・・・Gallium (Ga) as principal constituent

H01L 2224/45309 ・・・・・・・・・・・・Indium (In) as principal constituent

H01L 2224/45311 ・・・・・・・・・・・・Tin (Sn) as principal constituent

H01L 2224/45313 ・・・・・・・・・・・・Bismuth (Bi) as principal constituent

H01L 2224/45314 ・・・・・・・・・・・・Thallium (Tl) as principal constituent

H01L 2224/45316 ・・・・・・・・・・・・Lead (Pb) as principal constituent

H01L 2224/45317 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/45318 ・・・・・・・・・・・・Zinc (Zn) as principal constituent

H01L 2224/4532 ・・・・・・・・・・・・Antimony (Sb) as principal constituent

H01L 2224/45323 ・・・・・・・・・・・・Magnesium (Mg) as principal constituent

H01L 2224/45324 ・・・・・・・・・・・・Aluminium (Al) as principal constituent

H01L 2224/45338 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/45339 ・・・・・・・・・・・・Silver (Ag) as principal constituent

H01L 2224/45344 ・・・・・・・・・・・・Gold (Au) as principal constituent

H01L 2224/45347 ・・・・・・・・・・・・Copper (Cu) as principal constituent

H01L 2224/45349 ・・・・・・・・・・・・Manganese (Mn) as principal constituent

H01L 2224/45355 ・・・・・・・・・・・・Nickel (Ni) as principal constituent

H01L 2224/45357 ・・・・・・・・・・・・Cobalt (Co) as principal constituent

H01L 2224/4536 ・・・・・・・・・・・・Iron (Fe) as principal constituent

H01L 2224/45363 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/45364 ・・・・・・・・・・・・Palladium (Pd) as principal constituent

H01L 2224/45366 ・・・・・・・・・・・・Titanium (Ti) as principal constituent

H01L 2224/45369 ・・・・・・・・・・・・Platinum (Pt) as principal constituent

H01L 2224/4537 ・・・・・・・・・・・・Zirconium (Zr) as principal constituent

H01L 2224/45371 ・・・・・・・・・・・・Chromium (Cr) as principal constituent

H01L 2224/45372 ・・・・・・・・・・・・Vanadium (V) as principal constituent

H01L 2224/45373 ・・・・・・・・・・・・Rhodium (Rh) as principal constituent

H01L 2224/45376 ・・・・・・・・・・・・Ruthenium (Ru) as principal constituent

H01L 2224/45378 ・・・・・・・・・・・・Iridium (Ir) as principal constituent

H01L 2224/45379 ・・・・・・・・・・・・Niobium (Nb) as principal constituent

H01L 2224/4538 ・・・・・・・・・・・・Molybdenum (Mo) as principal constituent

H01L 2224/45381 ・・・・・・・・・・・・Tantalum (Ta) as principal constituent

H01L 2224/45383 ・・・・・・・・・・・・Rhenium (Re) as principal constituent

H01L 2224/45384 ・・・・・・・・・・・・Tungsten (W) as principal constituent

H01L 2224/45386 ・・・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/45387 ・・・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/45388)

H01L 2224/45388 ・・・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/4539 ・・・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/45391 ・・・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/45393 ・・・・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/453 to H01L 2224/45391, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/45394 ・・・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/453 to H01L 2224/45391

H01L 2224/45395 ・・・・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/453 to H01L 2224/45391

H01L 2224/45398 ・・・・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/45399 ・・・・・・・・・Coating material

H01L 2224/454 ・・・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof

H01L 2224/45401 ・・・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/45405 ・・・・・・・・・・・・Gallium (Ga) as principal constituent

H01L 2224/45409 ・・・・・・・・・・・・Indium (In) as principal constituent

H01L 2224/45411 ・・・・・・・・・・・・Tin (Sn) as principal constituent

H01L 2224/45413 ・・・・・・・・・・・・Bismuth (Bi) as principal constituent

H01L 2224/45414 ・・・・・・・・・・・・Thallium (Tl) as principal constituent

H01L 2224/45416 ・・・・・・・・・・・・Lead (Pb) as principal constituent

H01L 2224/45417 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/45418 ・・・・・・・・・・・・Zinc (Zn) as principal constituent

H01L 2224/4542 ・・・・・・・・・・・・Antimony (Sb) as principal constituent

H01L 2224/45423 ・・・・・・・・・・・・Magnesium (Mg) as principal constituent

H01L 2224/45424 ・・・・・・・・・・・・Aluminium (Al) as principal constituent

H01L 2224/45438 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/45439 ・・・・・・・・・・・・Silver (Ag) as principal constituent

H01L 2224/45444 ・・・・・・・・・・・・Gold (Au) as principal constituent

H01L 2224/45447 ・・・・・・・・・・・・Copper (Cu) as principal constituent

H01L 2224/45449 ・・・・・・・・・・・・Manganese (Mn) as principal constituent

H01L 2224/45455 ・・・・・・・・・・・・Nickel (Ni) as principal constituent

H01L 2224/45457 ・・・・・・・・・・・・Cobalt (Co) as principal constituent

H01L 2224/4546 ・・・・・・・・・・・・Iron (Fe) as principal constituent

H01L 2224/45463 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/45464 ・・・・・・・・・・・・Palladium (Pd) as principal constituent

H01L 2224/45466 ・・・・・・・・・・・・Titanium (Ti) as principal constituent

H01L 2224/45469 ・・・・・・・・・・・・Platinum (Pt) as principal constituent

H01L 2224/4547 ・・・・・・・・・・・・Zirconium (Zr) as principal constituent

H01L 2224/45471 ・・・・・・・・・・・・Chromium (Cr) as principal constituent

H01L 2224/45472 ・・・・・・・・・・・・Vanadium (V) as principal constituent

H01L 2224/45473 ・・・・・・・・・・・・Rhodium (Rh) as principal constituent

H01L 2224/45476 ・・・・・・・・・・・・Ruthenium (Ru) as principal constituent

H01L 2224/45478 ・・・・・・・・・・・・Iridium (Ir) as principal constituent

H01L 2224/45479 ・・・・・・・・・・・・Niobium (Nb) as principal constituent

H01L 2224/4548 ・・・・・・・・・・・・Molybdenum (Mo) as principal constituent

H01L 2224/45481 ・・・・・・・・・・・・Tantalum (Ta) as principal constituent

H01L 2224/45483 ・・・・・・・・・・・・Rhenium (Re) as principal constituent

H01L 2224/45484 ・・・・・・・・・・・・Tungsten (W) as principal constituent

H01L 2224/45486 ・・・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/45487 ・・・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/45488)

H01L 2224/45488 ・・・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/4549 ・・・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/45491 ・・・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/45493 ・・・・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/454 to H01L 2224/45491, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/45494 ・・・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/454 to H01L 2224/45491

H01L 2224/45495 ・・・・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/454 to H01L 2224/45491

H01L 2224/45498 ・・・・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/45499 ・・・・・・・・Shape or distribution of the fillers

H01L 2224/4554 ・・・・・Coating

H01L 2224/45541 ・・・・・・Structure

H01L 2224/4555 ・・・・・・Shape

H01L 2224/4556 ・・・・・・Disposition, e.g. coating on a part of the core

H01L 2224/45565 ・・・・・・Single coating layer

H01L 2224/4557 ・・・・・・Plural coating layers

H01L 2224/45572 ・・・・・・・Two-layer stack coating

H01L 2224/45573 ・・・・・・・Three-layer stack coating

H01L 2224/45574 ・・・・・・・Four-layer stack coating

H01L 2224/45576 ・・・・・・・being mutually engaged together, e.g. through inserts

H01L 2224/45578 ・・・・・・・being disposed next to each other, e.g. side-to-side arrangements

H01L 2224/45599 ・・・・・・Material

H01L 2224/456 ・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof

H01L 2224/45601 ・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/45605 ・・・・・・・・・Gallium (Ga) as principal constituent

H01L 2224/45609 ・・・・・・・・・Indium (In) as principal constituent

H01L 2224/45611 ・・・・・・・・・Tin (Sn) as principal constituent

H01L 2224/45613 ・・・・・・・・・Bismuth (Bi) as principal constituent

H01L 2224/45614 ・・・・・・・・・Thallium (Tl) as principal constituent

H01L 2224/45616 ・・・・・・・・・Lead (Pb) as principal constituent

H01L 2224/45617 ・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/45618 ・・・・・・・・・Zinc (Zn) as principal constituent

H01L 2224/4562 ・・・・・・・・・Antimony (Sb) as principal constituent

H01L 2224/45623 ・・・・・・・・・Magnesium (Mg) as principal constituent

H01L 2224/45624 ・・・・・・・・・Aluminium (Al) as principal constituent

H01L 2224/45638 ・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/45639 ・・・・・・・・・Silver (Ag) as principal constituent

H01L 2224/45644 ・・・・・・・・・Gold (Au) as principal constituent

H01L 2224/45647 ・・・・・・・・・Copper (Cu) as principal constituent

H01L 2224/45649 ・・・・・・・・・Manganese (Mn) as principal constituent

H01L 2224/45655 ・・・・・・・・・Nickel (Ni) as principal constituent

H01L 2224/45657 ・・・・・・・・・Cobalt (Co) as principal constituent

H01L 2224/4566 ・・・・・・・・・Iron (Fe) as principal constituent

H01L 2224/45663 ・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/45664 ・・・・・・・・・Palladium (Pd) as principal constituent

H01L 2224/45666 ・・・・・・・・・Titanium (Ti) as principal constituent

H01L 2224/45669 ・・・・・・・・・Platinum (Pt) as principal constituent

H01L 2224/4567 ・・・・・・・・・Zirconium (Zr) as principal constituent

H01L 2224/45671 ・・・・・・・・・Chromium (Cr) as principal constituent

H01L 2224/45672 ・・・・・・・・・Vanadium (V) as principal constituent

H01L 2224/45673 ・・・・・・・・・Rhodium (Rh) as principal constituent

H01L 2224/45676 ・・・・・・・・・Ruthenium (Ru) as principal constituent

H01L 2224/45678 ・・・・・・・・・Iridium (Ir) as principal constituent

H01L 2224/45679 ・・・・・・・・・Niobium (Nb) as principal constituent

H01L 2224/4568 ・・・・・・・・・Molybdenum (Mo) as principal constituent

H01L 2224/45681 ・・・・・・・・・Tantalum (Ta) as principal constituent

H01L 2224/45683 ・・・・・・・・・Rhenium (Re) as principal constituent

H01L 2224/45684 ・・・・・・・・・Tungsten (W) as principal constituent

H01L 2224/45686 ・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/45687 ・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/45688)

H01L 2224/45688 ・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/4569 ・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/45691 ・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/45693 ・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/456 to H01L 2224/45691, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/45694 ・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/456 to H01L 2224/45691

H01L 2224/45695 ・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/456 to H01L 2224/45691

H01L 2224/45698 ・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/45699 ・・・・・・・・Material of the matrix

H01L 2224/457 ・・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof

H01L 2224/45701 ・・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/45705 ・・・・・・・・・・・Gallium (Ga) as principal constituent

H01L 2224/45709 ・・・・・・・・・・・Indium (In) as principal constituent

H01L 2224/45711 ・・・・・・・・・・・Tin (Sn) as principal constituent

H01L 2224/45713 ・・・・・・・・・・・Bismuth (Bi) as principal constituent

H01L 2224/45714 ・・・・・・・・・・・Thallium (Tl) as principal constituent

H01L 2224/45716 ・・・・・・・・・・・Lead (Pb) as principal constituent

H01L 2224/45717 ・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/45718 ・・・・・・・・・・・Zinc (Zn) as principal constituent

H01L 2224/4572 ・・・・・・・・・・・Antimony (Sb) as principal constituent

H01L 2224/45723 ・・・・・・・・・・・Magnesium (Mg) as principal constituent

H01L 2224/45724 ・・・・・・・・・・・Aluminium (Al) as principal constituent

H01L 2224/45738 ・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/45739 ・・・・・・・・・・・Silver (Ag) as principal constituent

H01L 2224/45744 ・・・・・・・・・・・Gold (Au) as principal constituent

H01L 2224/45747 ・・・・・・・・・・・Copper (Cu) as principal constituent

H01L 2224/45749 ・・・・・・・・・・・Manganese (Mn) as principal constituent

H01L 2224/45755 ・・・・・・・・・・・Nickel (Ni) as principal constituent

H01L 2224/45757 ・・・・・・・・・・・Cobalt (Co) as principal constituent

H01L 2224/4576 ・・・・・・・・・・・Iron (Fe) as principal constituent

H01L 2224/45763 ・・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/45764 ・・・・・・・・・・・Palladium (Pd) as principal constituent

H01L 2224/45766 ・・・・・・・・・・・Titanium (Ti) as principal constituent

H01L 2224/45769 ・・・・・・・・・・・Platinum (Pt) as principal constituent

H01L 2224/4577 ・・・・・・・・・・・Zirconium (Zr) as principal constituent

H01L 2224/45771 ・・・・・・・・・・・Chromium (Cr) as principal constituent

H01L 2224/45772 ・・・・・・・・・・・Vanadium (V) as principal constituent

H01L 2224/45773 ・・・・・・・・・・・Rhodium (Rh) as principal constituent

H01L 2224/45776 ・・・・・・・・・・・Ruthenium (Ru) as principal constituent

H01L 2224/45778 ・・・・・・・・・・・Iridium (Ir) as principal constituent

H01L 2224/45779 ・・・・・・・・・・・Niobium (Nb) as principal constituent

H01L 2224/4578 ・・・・・・・・・・・Molybdenum (Mo) as principal constituent

H01L 2224/45781 ・・・・・・・・・・・Tantalum (Ta) as principal constituent

H01L 2224/45783 ・・・・・・・・・・・Rhenium (Re) as principal constituent

H01L 2224/45784 ・・・・・・・・・・・Tungsten (W) as principal constituent

H01L 2224/45786 ・・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/45787 ・・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/45788)

H01L 2224/45788 ・・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/4579 ・・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/45791 ・・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/45793 ・・・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/457 to H01L 2224/45791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/45794 ・・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/457 to H01L 2224/45791

H01L 2224/45795 ・・・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/457 to H01L 2224/45791

H01L 2224/45798 ・・・・・・・・Fillers

H01L 2224/45799 ・・・・・・・・・Base material

H01L 2224/458 ・・・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof

H01L 2224/45801 ・・・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/45805 ・・・・・・・・・・・・Gallium (Ga) as principal constituent

H01L 2224/45809 ・・・・・・・・・・・・Indium (In) as principal constituent

H01L 2224/45811 ・・・・・・・・・・・・Tin (Sn) as principal constituent

H01L 2224/45813 ・・・・・・・・・・・・Bismuth (Bi) as principal constituent

H01L 2224/45814 ・・・・・・・・・・・・Thallium (Tl) as principal constituent

H01L 2224/45816 ・・・・・・・・・・・・Lead (Pb) as principal constituent

H01L 2224/45817 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/45818 ・・・・・・・・・・・・Zinc (Zn) as principal constituent

H01L 2224/4582 ・・・・・・・・・・・・Antimony (Sb) as principal constituent

H01L 2224/45823 ・・・・・・・・・・・・Magnesium (Mg) as principal constituent

H01L 2224/45824 ・・・・・・・・・・・・Aluminium (Al) as principal constituent

H01L 2224/45838 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/45839 ・・・・・・・・・・・・Silver (Ag) as principal constituent

H01L 2224/45844 ・・・・・・・・・・・・Gold (Au) as principal constituent

H01L 2224/45847 ・・・・・・・・・・・・Copper (Cu) as principal constituent

H01L 2224/45849 ・・・・・・・・・・・・Manganese (Mn) as principal constituent

H01L 2224/45855 ・・・・・・・・・・・・Nickel (Ni) as principal constituent

H01L 2224/45857 ・・・・・・・・・・・・Cobalt (Co) as principal constituent

H01L 2224/4586 ・・・・・・・・・・・・Iron (Fe) as principal constituent

H01L 2224/45863 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/45864 ・・・・・・・・・・・・Palladium (Pd) as principal constituent

H01L 2224/45866 ・・・・・・・・・・・・Titanium (Ti) as principal constituent

H01L 2224/45869 ・・・・・・・・・・・・Platinum (Pt) as principal constituent

H01L 2224/4587 ・・・・・・・・・・・・Zirconium (Zr) as principal constituent

H01L 2224/45871 ・・・・・・・・・・・・Chromium (Cr) as principal constituent

H01L 2224/45872 ・・・・・・・・・・・・Vanadium (V) as principal constituent

H01L 2224/45873 ・・・・・・・・・・・・Rhodium (Rh) as principal constituent

H01L 2224/45876 ・・・・・・・・・・・・Ruthenium (Ru) as principal constituent

H01L 2224/45878 ・・・・・・・・・・・・Iridium (Ir) as principal constituent

H01L 2224/45879 ・・・・・・・・・・・・Niobium (Nb) as principal constituent

H01L 2224/4588 ・・・・・・・・・・・・Molybdenum (Mo) as principal constituent

H01L 2224/45881 ・・・・・・・・・・・・Tantalum (Ta) as principal constituent

H01L 2224/45883 ・・・・・・・・・・・・Rhenium (Re) as principal constituent

H01L 2224/45884 ・・・・・・・・・・・・Tungsten (W) as principal constituent

H01L 2224/45886 ・・・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/45887 ・・・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/45888)

H01L 2224/45888 ・・・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/4589 ・・・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/45891 ・・・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/45893 ・・・・・・・・・・with a principal constituent of the material being a solid not provided for in groups T01L 224/44800 to T01L 224/44891, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/45894 ・・・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups T01L 224/44800 to T01L 224/44891

H01L 2224/45895 ・・・・・・・・・・with a principal constituent of the material being a gas not provided for in groups T01L 224/44800 to T01L 224/44891

H01L 2224/45898 ・・・・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/45899 ・・・・・・・・・Coating material

H01L 2224/459 ・・・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof

H01L 2224/45901 ・・・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/45905 ・・・・・・・・・・・・Gallium (Ga) as principal constituent

H01L 2224/45909 ・・・・・・・・・・・・Indium (In) as principal constituent

H01L 2224/45911 ・・・・・・・・・・・・Tin (Sn) as principal constituent

H01L 2224/45913 ・・・・・・・・・・・・Bismuth (Bi) as principal constituent

H01L 2224/45914 ・・・・・・・・・・・・Thallium (Tl) as principal constituent

H01L 2224/45916 ・・・・・・・・・・・・Lead (Pb) as principal constituent

H01L 2224/45917 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/45918 ・・・・・・・・・・・・Zinc (Zn) as principal constituent

H01L 2224/4592 ・・・・・・・・・・・・Antimony (Sb) as principal constituent

H01L 2224/45923 ・・・・・・・・・・・・Magnesium (Mg) as principal constituent

H01L 2224/45924 ・・・・・・・・・・・・Aluminium (Al) as principal constituent

H01L 2224/45938 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/45939 ・・・・・・・・・・・・Silver (Ag) as principal constituent

H01L 2224/45944 ・・・・・・・・・・・・Gold (Au) as principal constituent

H01L 2224/45947 ・・・・・・・・・・・・Copper (Cu) as principal constituent

H01L 2224/45949 ・・・・・・・・・・・・Manganese (Mn) as principal constituent

H01L 2224/45955 ・・・・・・・・・・・・Nickel (Ni) as principal constituent

H01L 2224/45957 ・・・・・・・・・・・・Cobalt (Co) as principal constituent

H01L 2224/4596 ・・・・・・・・・・・・Iron (Fe) as principal constituent

H01L 2224/45963 ・・・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/45964 ・・・・・・・・・・・・Palladium (Pd) as principal constituent

H01L 2224/45966 ・・・・・・・・・・・・Titanium (Ti) as principal constituent

H01L 2224/45969 ・・・・・・・・・・・・Platinum (Pt) as principal constituent

H01L 2224/4597 ・・・・・・・・・・・・Zirconium (Zr) as principal constituent

H01L 2224/45971 ・・・・・・・・・・・・Chromium (Cr) as principal constituent

H01L 2224/45972 ・・・・・・・・・・・・Vanadium (V) as principal constituent

H01L 2224/45973 ・・・・・・・・・・・・Rhodium (Rh) as principal constituent

H01L 2224/45976 ・・・・・・・・・・・・Ruthenium (Ru) as principal constituent

H01L 2224/45978 ・・・・・・・・・・・・Iridium (Ir) as principal constituent

H01L 2224/45979 ・・・・・・・・・・・・Niobium (Nb) as principal constituent

H01L 2224/4598 ・・・・・・・・・・・・Molybdenum (Mo) as principal constituent

H01L 2224/45981 ・・・・・・・・・・・・Tantalum (Ta) as principal constituent

H01L 2224/45983 ・・・・・・・・・・・・Rhenium (Re) as principal constituent

H01L 2224/45984 ・・・・・・・・・・・・Tungsten (W) as principal constituent

H01L 2224/45986 ・・・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/45987 ・・・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/45988)

H01L 2224/45988 ・・・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/4599 ・・・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/45991 ・・・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/45993 ・・・・・・・・・・with a principal constituent of the material being a solid not provided for in groups T01L 224/44900 to T01L 224/44991, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/45994 ・・・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups T01L 224/44900 to T01L 224/44991

H01L 2224/45995 ・・・・・・・・・・with a principal constituent of the material being a gas not provided for in groups T01L 224/44900 to T01L 224/44991

H01L 2224/45998 ・・・・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/45999 ・・・・・・・・Shape or distribution of the fillers

H01L 2224/46 ・・・・of a plurality of wire connectors

H01L 2224/47 ・・・Structure, shape, material or disposition of the wire connectors after the connecting process

H01L 2224/48 ・・・・of an individual wire connector

H01L 2224/4801 ・・・・・Structure

H01L 2224/48011 ・・・・・・Length

H01L 2224/4805 ・・・・・Shape

H01L 2224/4807 ・・・・・・of bonding interfaces, e.g. interlocking features

H01L 2224/4809 ・・・・・・Loop shape

H01L 2224/48091 ・・・・・・・Arched

H01L 2224/48092 ・・・・・・・Helix

H01L 2224/48095 ・・・・・・・Kinked

H01L 2224/48096 ・・・・・・・・the kinked part being in proximity to the bonding area on the semiconductor or solid-state body

H01L 2224/48097 ・・・・・・・・the kinked part being in proximity to the bonding area outside the semiconductor or solid-state body

H01L 2224/481 ・・・・・Disposition

H01L 2224/48101 ・・・・・・Connecting bonding areas at the same height, e.g. horizontal bond

H01L 2224/48105 ・・・・・・Connecting bonding areas at different heights

H01L 2224/48106 ・・・・・・・the connector being orthogonal to a side surface of the semiconductor or solid-state body, e.g. parallel layout

H01L 2224/48108 ・・・・・・・the connector not being orthogonal to a side surface of the semiconductor or solid-state body, e.g. fanned-out connectors, radial layout

H01L 2224/4811 ・・・・・・Connecting to a bonding area of the semiconductor or solid-state body located at the far end of the body with respect to the bonding area outside the semiconductor or solid-state body

H01L 2224/48111 ・・・・・・the wire connector extending above another semiconductor or solid-state body

H01L 2224/4813 ・・・・・・Connecting within a semiconductor or solid-state body i.e. fly wire, bridge wire

H01L 2224/48132 ・・・・・・・with an intermediate bond, e.g. continuous wire daisy chain

H01L 2224/48135 ・・・・・・Connecting between different semiconductor or solid-state bodies i.e. chip-to-chip

H01L 2224/48137 ・・・・・・・the bodies being arranged next to each other, e.g. on a common substrate

H01L 2224/48138 ・・・・・・・・the wire connector connecting to a bonding area disposed in a recess of the surface

H01L 2224/48139 ・・・・・・・・with an intermediate bond, e.g. continuous wire daisy chain

H01L 2224/4814 ・・・・・・・・the wire connector connecting to a bonding area protruding from the surface

H01L 2224/48141 ・・・・・・・the bodies being arranged on opposite sides of a substrate, e.g. mirror arrangements

H01L 2224/48145 ・・・・・・・the bodies being stacked

H01L 2224/48147 ・・・・・・・・with an intermediate bond, e.g. continuous wire daisy chain

H01L 2224/48148 ・・・・・・・・the wire connector connecting to a bonding area disposed in a recess of the surface

H01L 2224/48149 ・・・・・・・・the wire connector connecting to a bonding area protruding from the surface

H01L 2224/48151 ・・・・・・Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive

H01L 2224/48153 ・・・・・・・the body and the item being arranged next to each other, e.g. on a common substrate

H01L 2224/48155 ・・・・・・・・the item being non-metallic, e.g. insulating substrate with or without metallisation

H01L 2224/48157 ・・・・・・・・・connecting the wire to a bond pad of the item

H01L 2224/48158 ・・・・・・・・・・the bond pad being disposed in a recess of the surface of the item

H01L 2224/48159 ・・・・・・・・・・the bond pad protruding from the surface of the item

H01L 2224/4816 ・・・・・・・・・connecting the wire to a pin of the item

H01L 2224/48163 ・・・・・・・・・connecting the wire to a potential ring of the item

H01L 2224/48165 ・・・・・・・・・connecting the wire to a via metallisation of the item

H01L 2224/48175 ・・・・・・・・the item being metallic

H01L 2224/48177 ・・・・・・・・・connecting the wire to a bond pad of the item

H01L 2224/48178 ・・・・・・・・・the bond pad being disposed in a recess of the surface of the item

H01L 2224/48179 ・・・・・・・・・the bond pad protruding from the surface of the item

H01L 2224/48183 ・・・・・・・・・connecting the wire to a potential ring of the item

H01L 2224/48195 ・・・・・・・・the item being a discrete passive component

H01L 2224/48221 ・・・・・・・the body and the item being stacked

H01L 2224/48225 ・・・・・・・・the item being non-metallic, e.g. insulating substrate with or without metallisation

H01L 2224/48227 ・・・・・・・・・connecting the wire to a bond pad of the item

H01L 2224/48228 ・・・・・・・・・・the bond pad being disposed in a recess of the surface of the item

H01L 2224/48229 ・・・・・・・・・・the bond pad protruding from the surface of the item

H01L 2224/4823 ・・・・・・・・・connecting the wire to a pin of the item

H01L 2224/48233 ・・・・・・・・・connecting the wire to a potential ring of the item

H01L 2224/48235 ・・・・・・・・・connecting the wire to a via metallisation of the item

H01L 2224/48237 ・・・・・・・・・connecting the wire to a die pad of the item

H01L 2224/4824 ・・・・・・・・・Connecting between the body and an opposite side of the item with respect to the body

H01L 2224/48245 ・・・・・・・・the item being metallic

H01L 2224/48247 ・・・・・・・・・connecting the wire to a bond pad of the item

H01L 2224/48248 ・・・・・・・・・・the bond pad being disposed in a recess of the surface of the item

H01L 2224/48249 ・・・・・・・・・・the bond pad protruding from the surface of the item

H01L 2224/48253 ・・・・・・・・・connecting the wire to a potential ring of the item

H01L 2224/48257 ・・・・・・・・・connecting the wire to a die pad of the item

H01L 2224/4826 ・・・・・・・・・Connecting between the body and an opposite side of the item with respect to the body

H01L 2224/48265 ・・・・・・・・the item being a discrete passive component

H01L 2224/484 ・・・・・Connecting portions

H01L 2224/4845 ・・・・・・Details of ball bonds

H01L 2224/48451 ・・・・・・・Shape

H01L 2224/48453 ・・・・・・・・of the interface with the bonding area

H01L 2224/48455 ・・・・・・Details of wedge bonds

H01L 2224/48456 ・・・・・・・Shape

H01L 2224/48458 ・・・・・・・・of the interface with the bonding area

H01L 2224/4846 ・・・・・・with multiple bonds on the same bonding area

H01L 2224/48463 ・・・・・・the connecting portion on the bonding area of the semiconductor or solid-state body being a ball bond

H01L 2224/48464 ・・・・・・・the other connecting portion not on the bonding area also being a ball bond, i.e. ball-to-ball

H01L 2224/48465 ・・・・・・・the other connecting portion not on the bonding area being a wedge bond, i.e. ball-to-wedge, regular stitch

H01L 2224/4847 ・・・・・・the connecting portion on the bonding area of the semiconductor or solid-state body being a wedge bond

H01L 2224/48471 ・・・・・・・the other connecting portion not on the bonding area being a ball bond, i.e. wedge-to-ball, reverse stitch

H01L 2224/48472 ・・・・・・・the other connecting portion not on the bonding area also being a wedge bond, i.e. wedge-to-wedge

H01L 2224/48475 ・・・・・・connected to auxiliary connecting means on the bonding areas, e.g. pre-ball, wedge-on-ball, ball-on-ball

H01L 2224/48476 ・・・・・・・between the wire connector and the bonding area

H01L 2224/48477 ・・・・・・・・being a pre-ball (i.e. a ball formed by capillary bonding)

H01L 2224/48478 ・・・・・・・・・the connecting portion being a wedge bond, i.e. wedge on pre-ball

H01L 2224/48479 ・・・・・・・・・・on the semiconductor or solid-state body

H01L 2224/4848 ・・・・・・・・・・outside the semiconductor or solid-state body

H01L 2224/48481 ・・・・・・・・・the connecting portion being a ball bond, i.e. ball on pre-ball

H01L 2224/48482 ・・・・・・・・・・on the semiconductor or solid-state body

H01L 2224/48483 ・・・・・・・・・・outside the semiconductor or solid-state body

H01L 2224/48484 ・・・・・・・・・being a plurality of pre-balls disposed side-to-side

H01L 2224/48485 ・・・・・・・・・・the connecting portion being a wedge bond, i.e. wedge on pre-ball

H01L 2224/48486 ・・・・・・・・・・・on the semiconductor or solid-state body

H01L 2224/48487 ・・・・・・・・・・・outside the semiconductor or solid-state body

H01L 2224/48488 ・・・・・・・・・・the connecting portion being a ball bond, i.e. ball on pre-ball

H01L 2224/48489 ・・・・・・・・・・・on the semiconductor or solid-state body

H01L 2224/4849 ・・・・・・・・・・・outside the semiconductor or solid-state body

H01L 2224/48491 ・・・・・・・・being an additional member attached to the bonding area through an adhesive or solder, e.g. buffer pad

H01L 2224/48496 ・・・・・・・not being interposed between the wire connector and the bonding area

H01L 2224/48499 ・・・・・・・Material of the auxiliary connecting means

H01L 2224/485 ・・・・・Material

H01L 2224/48505 ・・・・・・at the bonding interface

H01L 2224/48506 ・・・・・・・comprising an eutectic alloy

H01L 2224/48507 ・・・・・・・comprising an intermetallic compound

H01L 2224/4851 ・・・・・・・Morphology of the connecting portion, e.g. grain size distribution

H01L 2224/48511 ・・・・・・・・Heat affected zone [HAZ]

H01L 2224/4852 ・・・・・・・Bonding interface between the connecting portion and the bonding area

H01L 2224/48599 ・・・・・・・Principal constituent of the connecting portion of the wire connector being Gold (Au)

H01L 2224/486 ・・・・・・・・with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof

H01L 2224/48601 ・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/48605 ・・・・・・・・・・Gallium (Ga) as principal constituent

H01L 2224/48609 ・・・・・・・・・・Indium (In) as principal constituent

H01L 2224/48611 ・・・・・・・・・・Tin (Sn) as principal constituent

H01L 2224/48613 ・・・・・・・・・・Bismuth (Bi) as principal constituent

H01L 2224/48614 ・・・・・・・・・・Thallium (Tl) as principal constituent

H01L 2224/48616 ・・・・・・・・・・Lead (Pb) as principal constituent

H01L 2224/48617 ・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950 °C

H01L 2224/48618 ・・・・・・・・・・Zinc (Zn) as principal constituent

H01L 2224/4862 ・・・・・・・・・・Antimony (Sb) as principal constituent

H01L 2224/48623 ・・・・・・・・・・Magnesium (Mg) as principal constituent

H01L 2224/48624 ・・・・・・・・・・Aluminium (Al) as principal constituent

H01L 2224/48638 ・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/48639 ・・・・・・・・・・Silver (Ag) as principal constituent

H01L 2224/48644 ・・・・・・・・・・Gold (Au) as principal constituent

H01L 2224/48647 ・・・・・・・・・・Copper (Cu) as principal constituent

H01L 2224/48649 ・・・・・・・・・・Manganese (Mn) as principal constituent

H01L 2224/48655 ・・・・・・・・・・Nickel (Ni) as principal constituent

H01L 2224/48657 ・・・・・・・・・・Cobalt (Co) as principal constituent

H01L 2224/4866 ・・・・・・・・・・Iron (Fe) as principal constituent

H01L 2224/48663 ・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/48664 ・・・・・・・・・・Palladium (Pd) as principal constituent

H01L 2224/48666 ・・・・・・・・・・Titanium (Ti) as principal constituent

H01L 2224/48669 ・・・・・・・・・・Platinum (Pt) as principal constituent

H01L 2224/4867 ・・・・・・・・・・Zirconium (Zr) as principal constituent

H01L 2224/48671 ・・・・・・・・・・Chromium (Cr) as principal constituent

H01L 2224/48672 ・・・・・・・・・・Vanadium (V) as principal constituent

H01L 2224/48673 ・・・・・・・・・・Rhodium (Rh) as principal constituent

H01L 2224/48678 ・・・・・・・・・・Iridium (Ir) as principal constituent

H01L 2224/48679 ・・・・・・・・・・Niobium (Nb) as principal constituent

H01L 2224/4868 ・・・・・・・・・・Molybdenum (Mo) as principal constituent

H01L 2224/48681 ・・・・・・・・・・Tantalum (Ta) as principal constituent

H01L 2224/48683 ・・・・・・・・・・Rhenium (Re) as principal constituent

H01L 2224/48684 ・・・・・・・・・・Tungsten (W) as principal constituent

H01L 2224/48686 ・・・・・・・・with a principal constituent of the bonding area being a non metallic, non metalloid inorganic material

H01L 2224/48687 ・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/48688)

H01L 2224/48688 ・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/4869 ・・・・・・・・with a principal constituent of the bonding area being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/48691 ・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/48693 ・・・・・・・・with a principal constituent of the bonding area being a solid not provided for in groups H01L 2224/486 to H01L 2224/4869, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/48694 ・・・・・・・・with a principal constituent of the bonding area being a liquid not provided for in groups H01L 2224/486 to H01L 2224/4869

H01L 2224/48698 ・・・・・・・・with a principal constituent of the bonding area being a combination of two or more material regions i.e. being a hybrid material, e.g. segmented structures, island patterns

H01L 2224/48699 ・・・・・・・Principal constituent of the connecting portion of the wire connector being Aluminium (Al)

H01L 2224/487 ・・・・・・・・with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof

H01L 2224/48701 ・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/48705 ・・・・・・・・・・Gallium (Ga) as principal constituent

H01L 2224/48709 ・・・・・・・・・・Indium (In) as principal constituent

H01L 2224/48711 ・・・・・・・・・・Tin (Sn) as principal constituent

H01L 2224/48713 ・・・・・・・・・・Bismuth (Bi) as principal constituent

H01L 2224/48714 ・・・・・・・・・・Thallium (Tl) as principal constituent

H01L 2224/48716 ・・・・・・・・・・Lead (Pb) as principal constituent

H01L 2224/48717 ・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950 °C

H01L 2224/48718 ・・・・・・・・・・Zinc (Zn) as principal constituent

H01L 2224/4872 ・・・・・・・・・・Antimony (Sb) as principal constituent

H01L 2224/48723 ・・・・・・・・・・Magnesium (Mg) as principal constituent

H01L 2224/48724 ・・・・・・・・・・Aluminium (Al) as principal constituent

H01L 2224/48738 ・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/48739 ・・・・・・・・・・Silver (Ag) as principal constituent

H01L 2224/48744 ・・・・・・・・・・Gold (Au) as principal constituent

H01L 2224/48747 ・・・・・・・・・・Copper (Cu) as principal constituent

H01L 2224/48749 ・・・・・・・・・・Manganese (Mn) as principal constituent

H01L 2224/48755 ・・・・・・・・・・Nickel (Ni) as principal constituent

H01L 2224/48757 ・・・・・・・・・・Cobalt (Co) as principal constituent

H01L 2224/4876 ・・・・・・・・・・Iron (Fe) as principal constituent

H01L 2224/48763 ・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/48764 ・・・・・・・・・・Palladium (Pd) as principal constituent

H01L 2224/48766 ・・・・・・・・・・Titanium (Ti) as principal constituent

H01L 2224/48769 ・・・・・・・・・・Platinum (Pt) as principal constituent

H01L 2224/4877 ・・・・・・・・・・Zirconium (Zr) as principal constituent

H01L 2224/48771 ・・・・・・・・・・Chromium (Cr) as principal constituent

H01L 2224/48772 ・・・・・・・・・・Vanadium (V) as principal constituent

H01L 2224/48773 ・・・・・・・・・・Rhodium (Rh) as principal constituent

H01L 2224/48778 ・・・・・・・・・・Iridium (Ir) as principal constituent

H01L 2224/48779 ・・・・・・・・・・Niobium (Nb) as principal constituent

H01L 2224/4878 ・・・・・・・・・・Molybdenum (Mo) as principal constituent

H01L 2224/48781 ・・・・・・・・・・Tantalum (Ta) as principal constituent

H01L 2224/48783 ・・・・・・・・・・Rhenium (Re) as principal constituent

H01L 2224/48784 ・・・・・・・・・・Tungsten (W) as principal constituent

H01L 2224/48786 ・・・・・・・・with a principal constituent of the bonding area being a non metallic, non metalloid inorganic material

H01L 2224/48787 ・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/48788)

H01L 2224/48788 ・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/4879 ・・・・・・・・with a principal constituent of the bonding area being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/48791 ・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/48793 ・・・・・・・・with a principal constituent of the bonding area being a solid not provided for in groups H01L 2224/487 to H01L 2224/4879, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/48794 ・・・・・・・・with a principal constituent of the bonding area being a liquid not provided for in groups H01L 2224/487 to H01L 2224/4879

H01L 2224/48798 ・・・・・・・・with a principal constituent of the bonding area being a combination of two or more material regions i.e. being a hybrid material, e.g. segmented structures, island patterns

H01L 2224/48799 ・・・・・・・Principal constituent of the connecting portion of the wire connector being Copper (Cu)

H01L 2224/488 ・・・・・・・・with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof

H01L 2224/48801 ・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/48805 ・・・・・・・・・・Gallium (Ga) as principal constituent

H01L 2224/48809 ・・・・・・・・・・Indium (In) as principal constituent

H01L 2224/48811 ・・・・・・・・・・Tin (Sn) as principal constituent

H01L 2224/48813 ・・・・・・・・・・Bismuth (Bi) as principal constituent

H01L 2224/48814 ・・・・・・・・・・Thallium (Tl) as principal constituent

H01L 2224/48816 ・・・・・・・・・・Lead (Pb) as principal constituent

H01L 2224/48817 ・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950 °C

H01L 2224/48818 ・・・・・・・・・・Zinc (Zn) as principal constituent

H01L 2224/4882 ・・・・・・・・・・Antimony (Sb) as principal constituent

H01L 2224/48823 ・・・・・・・・・・Magnesium (Mg) as principal constituent

H01L 2224/48824 ・・・・・・・・・・Aluminium (Al) as principal constituent

H01L 2224/48838 ・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/48839 ・・・・・・・・・・Silver (Ag) as principal constituent

H01L 2224/48844 ・・・・・・・・・・Gold (Au) as principal constituent

H01L 2224/48847 ・・・・・・・・・・Copper (Cu) as principal constituent

H01L 2224/48849 ・・・・・・・・・・Manganese (Mn) as principal constituent

H01L 2224/48855 ・・・・・・・・・・Nickel (Ni) as principal constituent

H01L 2224/48857 ・・・・・・・・・・Cobalt (Co) as principal constituent

H01L 2224/4886 ・・・・・・・・・・Iron (Fe) as principal constituent

H01L 2224/48863 ・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/48864 ・・・・・・・・・・Palladium (Pd) as principal constituent

H01L 2224/48866 ・・・・・・・・・・Titanium (Ti) as principal constituent

H01L 2224/48869 ・・・・・・・・・・Platinum (Pt) as principal constituent

H01L 2224/4887 ・・・・・・・・・・Zirconium (Zr) as principal constituent

H01L 2224/48871 ・・・・・・・・・・Chromium (Cr) as principal constituent

H01L 2224/48872 ・・・・・・・・・・Vanadium (V) as principal constituent

H01L 2224/48873 ・・・・・・・・・・Rhodium (Rh) as principal constituent

H01L 2224/48878 ・・・・・・・・・・Iridium (Ir) as principal constituent

H01L 2224/48879 ・・・・・・・・・・Niobium (Nb) as principal constituent

H01L 2224/4888 ・・・・・・・・・・Molybdenum (Mo) as principal constituent

H01L 2224/48881 ・・・・・・・・・・Tantalum (Ta) as principal constituent

H01L 2224/48883 ・・・・・・・・・・Rhenium (Re) as principal constituent

H01L 2224/48884 ・・・・・・・・・・Tungsten (W) as principal constituent

H01L 2224/48886 ・・・・・・・・with a principal constituent of the bonding area being a non metallic, non metalloid inorganic material

H01L 2224/48887 ・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/48888)

H01L 2224/48888 ・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/4889 ・・・・・・・・with a principal constituent of the bonding area being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/48891 ・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/48893 ・・・・・・・・with a principal constituent of the bonding area being a solid not provided for in groups H01L 2224/488 to H01L 2224/4889, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/48894 ・・・・・・・・with a principal constituent of the bonding area being a liquid not provided for in groups H01L 2224/488 to H01L 2224/4889

H01L 2224/48898 ・・・・・・・・with a principal constituent of the bonding area being a combination of two or more material regions i.e. being a hybrid material, e.g. segmented structures, island patterns

H01L 2224/4899 ・・・・・Auxiliary members for wire connectors, e.g. flow-barriers, reinforcing structures, spacers, alignment aids

H01L 2224/48991 ・・・・・・being formed on the semiconductor or solid-state body to be connected

H01L 2224/48992 ・・・・・・・Reinforcing structures

H01L 2224/48993 ・・・・・・・Alignment aids

H01L 2224/48996 ・・・・・・being formed on an item to be connected not being a semiconductor or solid-state body

H01L 2224/48997 ・・・・・・・Reinforcing structures

H01L 2224/48998 ・・・・・・・Alignment aids

H01L 2224/49 ・・・・of a plurality of wire connectors

H01L 2224/4901 ・・・・・Structure

H01L 2224/4903 ・・・・・・Connectors having different sizes, e.g. different diameters

H01L 2224/4905 ・・・・・Shape

H01L 2224/49051 ・・・・・・Connectors having different shapes

H01L 2224/49052 ・・・・・・・Different loop heights

H01L 2224/4909 ・・・・・・Loop shape arrangement

H01L 2224/49095 ・・・・・・・parallel in plane

H01L 2224/49096 ・・・・・・・・horizontal

H01L 2224/49097 ・・・・・・・・vertical

H01L 2224/491 ・・・・・Disposition

H01L 2224/49105 ・・・・・・Connecting at different heights

H01L 2224/49107 ・・・・・・・on the semiconductor or solid-state body

H01L 2224/49109 ・・・・・・・outside the semiconductor or solid-state body

H01L 2224/4911 ・・・・・・the connectors being bonded to at least one common bonding area, e.g. daisy chain

H01L 2224/49111 ・・・・・・・the connectors connecting two common bonding areas, e.g. Litz or braid wires

H01L 2224/49112 ・・・・・・・the connectors connecting a common bonding area on the semiconductor or solid-state body to different bonding areas outside the body, e.g. diverging wires

H01L 2224/49113 ・・・・・・・the connectors connecting different bonding areas on the semiconductor or solid-state body to a common bonding area outside the body, e.g. converging wires

H01L 2224/4912 ・・・・・・Layout

H01L 2224/4917 ・・・・・・・Crossed wires

H01L 2224/49171 ・・・・・・・Fan-out arrangements

H01L 2224/49173 ・・・・・・・・Radial fan-out arrangements

H01L 2224/49174 ・・・・・・・Stacked arrangements

H01L 2224/49175 ・・・・・・・Parallel arrangements

H01L 2224/49176 ・・・・・・・・Wire connectors having the same loop shape and height

H01L 2224/49177 ・・・・・・・Combinations of different arrangements

H01L 2224/49179 ・・・・・・・・Corner adaptations, i.e. disposition of the wire connectors at the corners of the semiconductor or solid-state body

H01L 2224/4918 ・・・・・・being disposed on at least two different sides of the body, e.g. dual array

H01L 2224/494 ・・・・・Connecting portions

H01L 2224/4941 ・・・・・・the connecting portions being stacked

H01L 2224/4942 ・・・・・・・Ball bonds

H01L 2224/49421 ・・・・・・・・on the semiconductor or solid-state body

H01L 2224/49422 ・・・・・・・・outside the semiconductor or solid-state body

H01L 2224/49425 ・・・・・・・Wedge bonds

H01L 2224/49426 ・・・・・・・・on the semiconductor or solid-state body

H01L 2224/49427 ・・・・・・・・outside the semiconductor or solid-state body

H01L 2224/49429 ・・・・・・・Wedge and ball bonds

H01L 2224/4943 ・・・・・・the connecting portions being staggered

H01L 2224/49431 ・・・・・・・on the semiconductor or solid-state body

H01L 2224/49433 ・・・・・・・outside the semiconductor or solid-state body

H01L 2224/4945 ・・・・・・Wire connectors having connecting portions of different types on the semiconductor or solid-state body, e.g. regular and reverse stitches

H01L 2224/495 ・・・・・Material

H01L 2224/49505 ・・・・・・Connectors having different materials

H01L 2224/50 ・・Tape automated bonding [TAB] connectors, i.e. film carriers; Manufacturing methods related thereto

H01L 2224/63 ・・Connectors not provided for in any of the groups H01L 2224/10 to H01L 2224/50 and subgroups; Manufacturing methods related thereto

H01L 2224/64 ・・・Manufacturing methods

H01L 2224/65 ・・・Structure, shape, material or disposition of the connectors prior to the connecting process

H01L 2224/66 ・・・・of an individual connector

H01L 2224/67 ・・・・of a plurality of connectors

H01L 2224/68 ・・・Structure, shape, material or disposition of the connectors after the connecting process

H01L 2224/69 ・・・・of an individual connector

H01L 2224/70 ・・・・of a plurality of connectors

H01L 2224/71 ・Means for bonding not being attached to, or not being formed on, the surface to be connected

H01L 2224/72 ・・Detachable connecting means consisting of mechanical auxiliary parts connecting the device, e.g. pressure contacts using springs or clips

H01L 2224/73 ・Means for bonding being of different types provided for in two or more of groups H01L 2224/10, H01L 2224/18, H01L 2224/26, H01L 2224/34, H01L 2224/42, H01L 2224/50, H01L 2224/63, H01L 2224/71

H01L 2224/731 ・・Location prior to the connecting process

H01L 2224/73101 ・・・on the same surface

H01L 2224/73103 ・・・・Bump and layer connectors

H01L 2224/73104 ・・・・・the bump connector being embedded into the layer connector

H01L 2224/73151 ・・・on different surfaces

H01L 2224/73153 ・・・・Bump and layer connectors

H01L 2224/732 ・・Location after the connecting process

H01L 2224/73201 ・・・on the same surface

H01L 2224/73203 ・・・・Bump and layer connectors

H01L 2224/73204 ・・・・・the bump connector being embedded into the layer connector

H01L 2224/73205 ・・・・Bump and strap connectors

H01L 2224/73207 ・・・・Bump and wire connectors

H01L 2224/73209 ・・・・Bump and HDI connectors

H01L 2224/73211 ・・・・Bump and TAB connectors

H01L 2224/73213 ・・・・Layer and strap connectors

H01L 2224/73215 ・・・・Layer and wire connectors

H01L 2224/73217 ・・・・Layer and HDI connectors

H01L 2224/73219 ・・・・Layer and TAB connectors

H01L 2224/73221 ・・・・Strap and wire connectors

H01L 2224/73223 ・・・・Strap and HDI connectors

H01L 2224/73225 ・・・・Strap and TAB connectors

H01L 2224/73227 ・・・・Wire and HDI connectors

H01L 2224/73229 ・・・・Wire and TAB connectors

H01L 2224/73231 ・・・・HDI and TAB connectors

H01L 2224/73251 ・・・on different surfaces

H01L 2224/73253 ・・・・Bump and layer connectors

H01L 2224/73255 ・・・・Bump and strap connectors

H01L 2224/73257 ・・・・Bump and wire connectors

H01L 2224/73259 ・・・・Bump and HDI connectors

H01L 2224/73261 ・・・・Bump and TAB connectors

H01L 2224/73263 ・・・・Layer and strap connectors

H01L 2224/73265 ・・・・Layer and wire connectors

H01L 2224/73267 ・・・・Layer and HDI connectors

H01L 2224/73269 ・・・・Layer and TAB connectors

H01L 2224/73271 ・・・・Strap and wire connectors

H01L 2224/73273 ・・・・Strap and HDI connectors

H01L 2224/73275 ・・・・Strap and TAB connectors

H01L 2224/73277 ・・・・Wire and HDI connectors

H01L 2224/73279 ・・・・Wire and TAB connectors

H01L 2224/73281 ・・・・HDI and TAB connectors

H01L 2224/74 ・Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies and for methods related thereto

H01L 2224/741 ・・Apparatus for manufacturing means for bonding, e.g. connectors

H01L 2224/742 ・・・Apparatus for manufacturing bump connectors

H01L 2224/743 ・・・Apparatus for manufacturing layer connectors

H01L 2224/744 ・・・Apparatus for manufacturing strap connectors

H01L 2224/745 ・・・Apparatus for manufacturing wire connectors

H01L 2224/749 ・・・Tools for reworking, e.g. for shaping

H01L 2224/75 ・・Apparatus for connecting with bump connectors or layer connectors

H01L 2224/75001 ・・・Calibration means

H01L 2224/7501 ・・・Means for cleaning, e.g. brushes, for hydro blasting, for ultrasonic cleaning, for dry ice blasting, using gas-flow, by etching, by applying flux or plasma

H01L 2224/751 ・・・Means for controlling the bonding environment, e.g. valves, vacuum pumps

H01L 2224/75101 ・・・・Chamber

H01L 2224/75102 ・・・・・Vacuum chamber

H01L 2224/7511 ・・・・・High pressure chamber

H01L 2224/7515 ・・・Means for applying permanent coating, e.g. in-situ coating

H01L 2224/75151 ・・・・Means for direct writing

H01L 2224/75152 ・・・・・Syringe

H01L 2224/75153 ・・・・・・integrated into the bonding head

H01L 2224/75155 ・・・・・Jetting means, e.g. ink jet

H01L 2224/75158 ・・・・・including a laser

H01L 2224/75161 ・・・・Means for screen printing, e.g. roller, squeegee, screen stencil

H01L 2224/7517 ・・・・Means for applying a preform, e.g. laminator

H01L 2224/75171 ・・・・・including a vacuum-bag

H01L 2224/7518 ・・・・Means for blanket deposition

H01L 2224/75181 ・・・・・for spin coating, i.e. spin coater

H01L 2224/75182 ・・・・・for curtain coating

H01L 2224/75183 ・・・・・for immersion coating, i.e. bath

H01L 2224/75184 ・・・・・for spray coating, i.e. nozzle

H01L 2224/75185 ・・・・・Means for physical vapour deposition [PVD], e.g. evaporation, sputtering

H01L 2224/75186 ・・・・・Means for sputtering, e.g. target

H01L 2224/75187 ・・・・・Means for evaporation

H01L 2224/75188 ・・・・・Means for chemical vapour deposition [CVD], e.g. for laser CVD

H01L 2224/75189 ・・・・・Means for plating, e.g. for electroplating, electroless plating

H01L 2224/752 ・・・Protection means against electrical discharge

H01L 2224/7525 ・・・Means for applying energy, e.g. heating means

H01L 2224/75251 ・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/75252 ・・・・in the upper part of the bonding apparatus, e.g. in the bonding head

H01L 2224/75253 ・・・・adapted for localised heating

H01L 2224/7526 ・・・・Polychromatic heating lamp

H01L 2224/75261 ・・・・Laser

H01L 2224/75262 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/75263 ・・・・・in the upper part of the bonding apparatus, e.g. in the bonding head

H01L 2224/75264 ・・・・by induction heating, i.e. coils

H01L 2224/75265 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/75266 ・・・・・in the upper part of the bonding apparatus, e.g. in the bonding head

H01L 2224/75267 ・・・・Flame torch, e.g. hydrogen torch

H01L 2224/75268 ・・・・Discharge electrode

H01L 2224/75269 ・・・・・Shape of the discharge electrode

H01L 2224/7527 ・・・・・Material of the discharge electrode

H01L 2224/75271 ・・・・・Circuitry of the discharge electrode

H01L 2224/75272 ・・・・Oven

H01L 2224/7528 ・・・・Resistance welding electrodes, i.e. for ohmic heating

H01L 2224/75281 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/75282 ・・・・・in the upper part of the bonding apparatus, e.g. in the bonding head

H01L 2224/75283 ・・・・by infrared heating, e.g. infrared heating lamp

H01L 2224/753 ・・・・by means of pressure

H01L 2224/75301 ・・・・・Bonding head

H01L 2224/75302 ・・・・・・Shape

H01L 2224/75303 ・・・・・・・of the pressing surface

H01L 2224/75304 ・・・・・・・・being curved

H01L 2224/75305 ・・・・・・・・comprising protrusions

H01L 2224/7531 ・・・・・・・of other parts

H01L 2224/75312 ・・・・・・Material

H01L 2224/75313 ・・・・・・Removable bonding head

H01L 2224/75314 ・・・・・・Auxiliary members on the pressing surface

H01L 2224/75315 ・・・・・・・Elastomer inlay

H01L 2224/75316 ・・・・・・・・with retaining mechanisms

H01L 2224/75317 ・・・・・・・Removable auxiliary member

H01L 2224/75318 ・・・・・・・Shape of the auxiliary member

H01L 2224/7532 ・・・・・・・Material of the auxiliary member

H01L 2224/75343 ・・・・・by ultrasonic vibrations

H01L 2224/75344 ・・・・・・Eccentric cams

H01L 2224/75345 ・・・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/75346 ・・・・・・・in the upper part of the bonding apparatus, e.g. in the bonding head

H01L 2224/75347 ・・・・・・Piezoelectric transducers

H01L 2224/75348 ・・・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/75349 ・・・・・・・in the upper part of the bonding apparatus, e.g. in the bonding head

H01L 2224/7535 ・・・・・・Stable and mobile yokes

H01L 2224/75351 ・・・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/75352 ・・・・・・・in the upper part of the bonding apparatus, e.g. in the bonding head

H01L 2224/75353 ・・・・・・Ultrasonic horns

H01L 2224/75354 ・・・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/75355 ・・・・・・・Design, e.g. of the wave guide

H01L 2224/755 ・・・Cooling means

H01L 2224/75501 ・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/75502 ・・・・in the upper part of the bonding apparatus, e.g. in the bonding head

H01L 2224/7555 ・・・Mechanical means, e.g. for planarising, pressing, stamping

H01L 2224/756 ・・・Means for supplying the connector to be connected in the bonding apparatus

H01L 2224/75601 ・・・・Storing means

H01L 2224/75611 ・・・・Feeding means

H01L 2224/75621 ・・・・Holding means

H01L 2224/7565 ・・・Means for transporting the components to be connected

H01L 2224/75651 ・・・・Belt conveyor

H01L 2224/75652 ・・・・Chain conveyor

H01L 2224/75653 ・・・・Vibrating conveyor

H01L 2224/75654 ・・・・Pneumatic conveyor

H01L 2224/75655 ・・・・in a fluid

H01L 2224/757 ・・・Means for aligning

H01L 2224/75701 ・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/75702 ・・・・in the upper part of the bonding apparatus, e.g. in the bonding head

H01L 2224/75703 ・・・・Mechanical holding means

H01L 2224/75704 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/75705 ・・・・・in the upper part of the bonding apparatus, e.g. in the bonding head

H01L 2224/75723 ・・・・Electrostatic holding means

H01L 2224/75724 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/75725 ・・・・・in the upper part of the bonding apparatus, e.g. in the bonding head

H01L 2224/75733 ・・・・Magnetic holding means

H01L 2224/75734 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/75735 ・・・・・in the upper part of the bonding apparatus, e.g. in the bonding head

H01L 2224/75743 ・・・・Suction holding means

H01L 2224/75744 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/75745 ・・・・・in the upper part of the bonding apparatus, e.g. in the bonding head

H01L 2224/75753 ・・・・Means for optical alignment, e.g. sensors

H01L 2224/75754 ・・・・Guiding structures

H01L 2224/75755 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/75756 ・・・・・in the upper part of the bonding apparatus, e.g. in the bonding head

H01L 2224/758 ・・・Means for moving parts

H01L 2224/75801 ・・・・Lower part of the bonding apparatus, e.g. XY table

H01L 2224/75802 ・・・・・Rotational mechanism

H01L 2224/75803 ・・・・・・Pivoting mechanism

H01L 2224/75804 ・・・・・Translational mechanism

H01L 2224/75821 ・・・・Upper part of the bonding apparatus, i.e. bonding head

H01L 2224/75822 ・・・・・Rotational mechanism

H01L 2224/75823 ・・・・・・Pivoting mechanism

H01L 2224/75824 ・・・・・Translational mechanism

H01L 2224/75841 ・・・・of the bonding head

H01L 2224/75842 ・・・・・Rotational mechanism

H01L 2224/75843 ・・・・・・Pivoting mechanism

H01L 2224/759 ・・・Means for monitoring the connection process

H01L 2224/75901 ・・・・using a computer, e.g. fully- or semi-automatic bonding

H01L 2224/7592 ・・・・Load or pressure adjusting means, e.g. sensors

H01L 2224/75925 ・・・・Vibration adjusting means, e.g. sensors

H01L 2224/7595 ・・・Means for forming additional members

H01L 2224/7598 ・・・specially adapted for batch processes

H01L 2224/75981 ・・・Apparatus chuck

H01L 2224/75982 ・・・・Shape

H01L 2224/75983 ・・・・・of the mounting surface

H01L 2224/75984 ・・・・・of other portions

H01L 2224/75985 ・・・・Material

H01L 2224/75986 ・・・・Auxiliary members on the pressing surface

H01L 2224/75987 ・・・・・Shape of the auxiliary member

H01L 2224/75988 ・・・・・Material of the auxiliary member

H01L 2224/76 ・・Apparatus for connecting with build-up interconnects

H01L 2224/76001 ・・・Calibration means

H01L 2224/7601 ・・・Means for cleaning, e.g. brushes, for hydro blasting, for ultrasonic cleaning, for dry ice blasting, using gas-flow, by etching, by applying flux or plasma

H01L 2224/761 ・・・Means for controlling the bonding environment, e.g. valves, vacuum pumps

H01L 2224/76101 ・・・・Chamber

H01L 2224/76102 ・・・・・Vacuum chamber

H01L 2224/7611 ・・・・・High pressure chamber

H01L 2224/7615 ・・・Means for depositing

H01L 2224/76151 ・・・・Means for direct writing

H01L 2224/76152 ・・・・・Syringe

H01L 2224/76155 ・・・・・Jetting means, e.g. ink jet

H01L 2224/76158 ・・・・・including a laser

H01L 2224/76161 ・・・・Means for screen printing, e.g. roller, squeegee, screen stencil

H01L 2224/7617 ・・・・Means for applying a preform, e.g. laminator

H01L 2224/76171 ・・・・・including a vacuum-bag

H01L 2224/7618 ・・・・Means for blanket deposition

H01L 2224/76181 ・・・・・for spin coating, i.e. spin coater

H01L 2224/76182 ・・・・・for curtain coating

H01L 2224/76183 ・・・・・for immersion coating, i.e. bath

H01L 2224/76184 ・・・・・for spray coating, i.e. nozzle

H01L 2224/76185 ・・・・・Means for physical vapour deposition [PVD]

H01L 2224/76186 ・・・・・・Means for sputtering, e.g. target

H01L 2224/76187 ・・・・・・Means for evaporation

H01L 2224/76188 ・・・・・Means for chemical vapour deposition [CVD], e.g. for laser CVD

H01L 2224/76189 ・・・・・Means for plating, e.g. for electroplating, electroless plating

H01L 2224/762 ・・・Protection means against electrical discharge

H01L 2224/7625 ・・・Means for applying energy, e.g. heating means

H01L 2224/76251 ・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/76252 ・・・・in the upper part of the bonding apparatus

H01L 2224/76253 ・・・・adapted for localised heating

H01L 2224/7626 ・・・・Polychromatic heating lamp

H01L 2224/76261 ・・・・Laser

H01L 2224/76262 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/76263 ・・・・・in the upper part of the bonding apparatus

H01L 2224/76264 ・・・・by induction heating, i.e. coils

H01L 2224/76265 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/76266 ・・・・・in the upper part of the bonding apparatus

H01L 2224/76267 ・・・・Flame torch, e.g. hydrogen torch

H01L 2224/76268 ・・・・Discharge electrode

H01L 2224/76269 ・・・・・Shape of the discharge electrode

H01L 2224/7627 ・・・・・Material of the discharge electrode

H01L 2224/76271 ・・・・・Circuitry of the discharge electrode

H01L 2224/76272 ・・・・Oven

H01L 2224/7628 ・・・・Resistance welding electrodes, i.e. for ohmic heating

H01L 2224/76281 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/76282 ・・・・・in the upper part of the bonding apparatus

H01L 2224/76283 ・・・・by infrared heating, e.g. infrared heating lamp

H01L 2224/763 ・・・・by means of pressure

H01L 2224/76301 ・・・・・Pressing head

H01L 2224/76302 ・・・・・・Shape

H01L 2224/76303 ・・・・・・・of the pressing surface

H01L 2224/76304 ・・・・・・・・being curved

H01L 2224/76305 ・・・・・・・・comprising protrusions

H01L 2224/7631 ・・・・・・・of other parts

H01L 2224/76312 ・・・・・・Material

H01L 2224/76313 ・・・・・・Removable pressing head

H01L 2224/76314 ・・・・・・Auxiliary members on the pressing surface

H01L 2224/76315 ・・・・・・・Elastomer inlay

H01L 2224/76316 ・・・・・・・・with retaining mechanisms

H01L 2224/76317 ・・・・・・・Removable auxiliary member

H01L 2224/76318 ・・・・・・・Shape of the auxiliary member

H01L 2224/7632 ・・・・・・・Material of the auxiliary member

H01L 2224/76343 ・・・・・by ultrasonic vibrations

H01L 2224/76344 ・・・・・・Eccentric cams

H01L 2224/76345 ・・・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/76346 ・・・・・・・in the upper part of the bonding apparatus

H01L 2224/76347 ・・・・・・Piezoelectric transducers

H01L 2224/76348 ・・・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/76349 ・・・・・・・in the upper part of the bonding apparatus

H01L 2224/7635 ・・・・・・Stable and mobile yokes

H01L 2224/76351 ・・・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/76352 ・・・・・・・in the upper part of the bonding apparatus

H01L 2224/76353 ・・・・・・Ultrasonic horns

H01L 2224/76354 ・・・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/76355 ・・・・・・・Design, e.g. of the wave guide

H01L 2224/765 ・・・Cooling means

H01L 2224/76501 ・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/76502 ・・・・in the upper part of the bonding apparatus

H01L 2224/7655 ・・・Mechanical means, e.g. for planarising, pressing, stamping

H01L 2224/76552 ・・・・for drilling

H01L 2224/76554 ・・・・for abrasive blasting, e.g. sand blasting, wet blasting, hydro-blasting, dry ice blasting

H01L 2224/766 ・・・Means for supplying the material of the interconnect

H01L 2224/76601 ・・・・Storing means

H01L 2224/76611 ・・・・Feeding means

H01L 2224/76621 ・・・・Holding means

H01L 2224/7665 ・・・Means for transporting the components to be connected

H01L 2224/76651 ・・・・Belt conveyor

H01L 2224/76652 ・・・・Chain conveyor

H01L 2224/76653 ・・・・Vibrating conveyor

H01L 2224/76654 ・・・・Pneumatic conveyor

H01L 2224/76655 ・・・・in a fluid

H01L 2224/767 ・・・Means for aligning

H01L 2224/76701 ・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/76702 ・・・・in the upper part of the bonding apparatus

H01L 2224/76703 ・・・・Mechanical holding means

H01L 2224/76704 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/76705 ・・・・・in the upper part of the bonding apparatus

H01L 2224/76723 ・・・・Electrostatic holding means

H01L 2224/76724 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/76725 ・・・・・in the upper part of the bonding apparatus

H01L 2224/76733 ・・・・Magnetic holding means

H01L 2224/76734 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/76735 ・・・・・in the upper part of the bonding apparatus

H01L 2224/76743 ・・・・Suction holding means

H01L 2224/76744 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/76745 ・・・・・in the upper part of the bonding apparatus

H01L 2224/76753 ・・・・Means for optical alignment, e.g. sensors

H01L 2224/76754 ・・・・Guiding structures

H01L 2224/76755 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/76756 ・・・・・in the upper part of the bonding apparatus

H01L 2224/768 ・・・Means for moving parts

H01L 2224/76801 ・・・・Lower part of the bonding apparatus, e.g. XY table

H01L 2224/76802 ・・・・・Rotational mechanism

H01L 2224/76803 ・・・・・・Pivoting mechanism

H01L 2224/76804 ・・・・・Translational mechanism

H01L 2224/76821 ・・・・Upper part of the bonding apparatus, i.e. bonding head

H01L 2224/76822 ・・・・・Rotational mechanism

H01L 2224/76823 ・・・・・・Pivoting mechanism

H01L 2224/76824 ・・・・・Translational mechanism

H01L 2224/76841 ・・・・of the bonding head

H01L 2224/76842 ・・・・・Rotational mechanism

H01L 2224/76843 ・・・・・・Pivoting mechanism

H01L 2224/769 ・・・Means for monitoring the connection process

H01L 2224/76901 ・・・・using a computer, e.g. fully- or semi-automatic bonding

H01L 2224/7692 ・・・・Load or pressure adjusting means, e.g. sensors

H01L 2224/76925 ・・・・Vibration adjusting means, e.g. sensors

H01L 2224/7695 ・・・Means for forming additional members

H01L 2224/7698 ・・・specially adapted for batch processes

H01L 2224/76981 ・・・Apparatus chuck

H01L 2224/76982 ・・・・Shape

H01L 2224/76983 ・・・・・of the mounting surface

H01L 2224/76984 ・・・・・of other portions

H01L 2224/76985 ・・・・Material

H01L 2224/76986 ・・・・Auxiliary members on the pressing surface

H01L 2224/76987 ・・・・・Shape of the auxiliary member

H01L 2224/76988 ・・・・・Material of the auxiliary member

H01L 2224/77 ・・Apparatus for connecting with strap connectors

H01L 2224/77001 ・・・Calibration means

H01L 2224/7701 ・・・Means for cleaning, e.g. brushes, for hydro blasting, for ultrasonic cleaning, for dry ice blasting, using gas-flow, by etching, by applying flux or plasma

H01L 2224/771 ・・・Means for controlling the bonding environment, e.g. valves, vacuum pumps

H01L 2224/77101 ・・・・Chamber

H01L 2224/77102 ・・・・・Vacuum chamber

H01L 2224/7711 ・・・・・High pressure chamber

H01L 2224/7715 ・・・Means for applying permanent coating, e.g. in-situ coating

H01L 2224/77151 ・・・・Means for direct writing

H01L 2224/77152 ・・・・・Syringe

H01L 2224/77153 ・・・・・・integrated into the capillary or wedge

H01L 2224/77155 ・・・・・Jetting means, e.g. ink jet

H01L 2224/77158 ・・・・・including a laser

H01L 2224/77161 ・・・・Means for screen printing, e.g. roller, squeegee, screen stencil

H01L 2224/7717 ・・・・Means for applying a preform, e.g. laminator

H01L 2224/77171 ・・・・・including a vacuum-bag

H01L 2224/7718 ・・・・Means for blanket deposition

H01L 2224/77181 ・・・・・for spin coating, i.e. spin coater

H01L 2224/77182 ・・・・・for curtain coating

H01L 2224/77183 ・・・・・for immersion coating, i.e. bath

H01L 2224/77184 ・・・・・for spray coating, i.e. nozzle

H01L 2224/77185 ・・・・・Means for physical vapour deposition [PVD], e.g. evaporation, sputtering

H01L 2224/77186 ・・・・・Means for sputtering, e.g. target

H01L 2224/77187 ・・・・・Means for evaporation

H01L 2224/77188 ・・・・・Means for chemical vapour deposition [CVD], e.g. for laser CVD

H01L 2224/77189 ・・・・・Means for plating, e.g. for electroplating, electroless plating

H01L 2224/772 ・・・Protection means against electrical discharge

H01L 2224/7725 ・・・Means for applying energy, e.g. heating means

H01L 2224/77251 ・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/77252 ・・・・in the upper part of the bonding apparatus, e.g. in the wedge

H01L 2224/77253 ・・・・adapted for localised heating

H01L 2224/7726 ・・・・Polychromatic heating lamp

H01L 2224/77261 ・・・・Laser

H01L 2224/77262 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/77263 ・・・・・in the upper part of the bonding apparatus, e.g. in the wedge

H01L 2224/77264 ・・・・by induction heating, i.e. coils

H01L 2224/77265 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/77266 ・・・・・in the upper part of the bonding apparatus, e.g. in the wedge

H01L 2224/77267 ・・・・Flame torch, e.g. hydrogen torch

H01L 2224/77268 ・・・・Discharge electrode

H01L 2224/77269 ・・・・・Shape of the discharge electrode

H01L 2224/7727 ・・・・・Material of the discharge electrode

H01L 2224/77271 ・・・・・Circuitry of the discharge electrode

H01L 2224/77272 ・・・・Oven

H01L 2224/7728 ・・・・Resistance welding electrodes, i.e. for ohmic heating

H01L 2224/77281 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/77282 ・・・・・in the upper part of the bonding apparatus, e.g. in the wedge

H01L 2224/77283 ・・・・by infrared heating, e.g. infrared heating lamp

H01L 2224/773 ・・・・by means of pressure

H01L 2224/77313 ・・・・・Wedge

H01L 2224/77314 ・・・・・・Shape

H01L 2224/77315 ・・・・・・・of the pressing surface, e.g. tip or head

H01L 2224/77316 ・・・・・・・・comprising protrusions

H01L 2224/77317 ・・・・・・・of other portions

H01L 2224/77318 ・・・・・・・・inside the capillary

H01L 2224/77319 ・・・・・・・・outside the capillary

H01L 2224/7732 ・・・・・・Removable wedge

H01L 2224/77321 ・・・・・・Material

H01L 2224/77325 ・・・・・・Auxiliary members on the pressing surface

H01L 2224/77326 ・・・・・・・Removable auxiliary member

H01L 2224/77327 ・・・・・・・Shape of the auxiliary member

H01L 2224/77328 ・・・・・・・Material of the auxiliary member

H01L 2224/77343 ・・・・・by ultrasonic vibrations

H01L 2224/77344 ・・・・・・Eccentric cams

H01L 2224/77345 ・・・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/77346 ・・・・・・・in the upper part of the bonding apparatus, e.g. in the wedge

H01L 2224/77347 ・・・・・・Piezoelectric transducers

H01L 2224/77348 ・・・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/77349 ・・・・・・・in the upper part of the bonding apparatus, e.g. in the wedge

H01L 2224/7735 ・・・・・・Stable and mobile yokes

H01L 2224/77351 ・・・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/77352 ・・・・・・・in the upper part of the bonding apparatus, e.g. in the wedge

H01L 2224/77353 ・・・・・・Ultrasonic horns

H01L 2224/77354 ・・・・・・・in the lower part of the bonding apparatus, e.g. in the mounting chuck

H01L 2224/77355 ・・・・・・・Design, e.g. of the wave guide

H01L 2224/775 ・・・Cooling means

H01L 2224/77501 ・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/77502 ・・・・in the upper part of the bonding apparatus, e.g. in the wedge

H01L 2224/7755 ・・・Mechanical means, e.g. for severing, pressing, stamping

H01L 2224/776 ・・・Means for supplying the connector to be connected in the bonding apparatus

H01L 2224/77601 ・・・・Storing means

H01L 2224/77611 ・・・・Feeding means

H01L 2224/77621 ・・・・Holding means, e.g. wire clampers

H01L 2224/77631 ・・・・・Means for wire tension adjustments

H01L 2224/7765 ・・・Means for transporting the components to be connected

H01L 2224/77651 ・・・・Belt conveyor

H01L 2224/77652 ・・・・Chain conveyor

H01L 2224/77653 ・・・・Vibrating conveyor

H01L 2224/77654 ・・・・Pneumatic conveyor

H01L 2224/77655 ・・・・in a fluid

H01L 2224/777 ・・・Means for aligning

H01L 2224/77701 ・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/77702 ・・・・in the upper part of the bonding apparatus, e.g. in the wedge

H01L 2224/77703 ・・・・Mechanical holding means

H01L 2224/77704 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/77705 ・・・・・in the upper part of the bonding apparatus, e.g. in the wedge

H01L 2224/77723 ・・・・Electrostatic holding means

H01L 2224/77724 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/77725 ・・・・・in the upper part of the bonding apparatus, e.g. in the wedge

H01L 2224/77733 ・・・・Magnetic holding means

H01L 2224/77734 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/77735 ・・・・・in the upper part of the bonding apparatus, e.g. in the wedge

H01L 2224/77743 ・・・・Suction holding means

H01L 2224/77744 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/77745 ・・・・・in the upper part of the bonding apparatus, e.g. in the wedge

H01L 2224/77753 ・・・・Means for optical alignment, e.g. sensors

H01L 2224/77754 ・・・・Guiding structures

H01L 2224/77755 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/77756 ・・・・・in the upper part of the bonding apparatus, e.g. in the wedge

H01L 2224/778 ・・・Means for moving parts

H01L 2224/77801 ・・・・Lower part of the bonding apparatus, e.g. XY table

H01L 2224/77802 ・・・・・Rotational mechanism

H01L 2224/77803 ・・・・・・Pivoting mechanism

H01L 2224/77804 ・・・・・Translational mechanism

H01L 2224/77821 ・・・・Upper part of the bonding apparatus, i.e. bonding head, e.g. capillary or wedge

H01L 2224/77822 ・・・・・Rotational mechanism

H01L 2224/77823 ・・・・・・Pivoting mechanism

H01L 2224/77824 ・・・・・Translational mechanism

H01L 2224/77841 ・・・・of the pressing portion, e.g. tip or head

H01L 2224/77842 ・・・・・Rotational mechanism

H01L 2224/77843 ・・・・・・Pivoting mechanism

H01L 2224/779 ・・・Means for monitoring the connection process

H01L 2224/77901 ・・・・using a computer, e.g. fully- or semi-automatic bonding

H01L 2224/7792 ・・・・Load or pressure adjusting means, e.g. sensors

H01L 2224/77925 ・・・・Vibration adjusting means, e.g. sensors

H01L 2224/7795 ・・・Means for forming additional members

H01L 2224/7798 ・・・specially adapted for batch processes

H01L 2224/77981 ・・・Apparatus chuck

H01L 2224/77982 ・・・・Shape

H01L 2224/77983 ・・・・・of the mounting surface

H01L 2224/77984 ・・・・・of other portions

H01L 2224/77985 ・・・・Material

H01L 2224/77986 ・・・・Auxiliary members on the pressing surface

H01L 2224/77987 ・・・・・Shape of the auxiliary member

H01L 2224/77988 ・・・・・Material of the auxiliary member

H01L 2224/78 ・・Apparatus for connecting with wire connectors

H01L 2224/78001 ・・・Calibration means

H01L 2224/7801 ・・・Means for cleaning, e.g. brushes, for hydro blasting, for ultrasonic cleaning, for dry ice blasting, using gas-flow, by etching, by applying flux or plasma

H01L 2224/781 ・・・Means for controlling the bonding environment, e.g. valves, vacuum pumps

H01L 2224/78101 ・・・・Chamber

H01L 2224/78102 ・・・・・Vacuum chamber

H01L 2224/7811 ・・・・・High pressure chamber

H01L 2224/7815 ・・・Means for applying permanent coating, e.g. in-situ coating

H01L 2224/782 ・・・Protection means against electrical discharge

H01L 2224/7825 ・・・Means for applying energy, e.g. heating means

H01L 2224/78251 ・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/78252 ・・・・in the upper part of the bonding apparatus, e.g. in the capillary or wedge

H01L 2224/78253 ・・・・adapted for localised heating

H01L 2224/7826 ・・・・Polychromatic heating lamp

H01L 2224/78261 ・・・・Laser

H01L 2224/78262 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/78263 ・・・・・in the upper part of the bonding apparatus, e.g. in the capillary or wedge

H01L 2224/78264 ・・・・by induction heating, i.e. coils

H01L 2224/78265 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/78266 ・・・・・in the upper part of the bonding apparatus, e.g. in the capillary or wedge

H01L 2224/78267 ・・・・Flame torch, e.g. hydrogen torch

H01L 2224/78268 ・・・・Discharge electrode

H01L 2224/78269 ・・・・・Shape of the discharge electrode

H01L 2224/7827 ・・・・・Material of the discharge electrode

H01L 2224/78271 ・・・・・Circuitry of the discharge electrode

H01L 2224/78272 ・・・・Oven

H01L 2224/7828 ・・・・Resistance welding electrodes, i.e. for ohmic heating

H01L 2224/78281 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/78282 ・・・・・in the upper part of the bonding apparatus, e.g. in the capillary or wedge

H01L 2224/78283 ・・・・by infrared heating, e.g. infrared heating lamp

H01L 2224/783 ・・・・by means of pressure

H01L 2224/78301 ・・・・・Capillary

H01L 2224/78302 ・・・・・・Shape

H01L 2224/78303 ・・・・・・・of the pressing surface, e.g. tip or head

H01L 2224/78304 ・・・・・・・・comprising protrusions

H01L 2224/78305 ・・・・・・・of other portions

H01L 2224/78306 ・・・・・・・・inside the capillary

H01L 2224/78307 ・・・・・・・・outside the capillary

H01L 2224/78308 ・・・・・・Removable capillary

H01L 2224/78309 ・・・・・・Material

H01L 2224/7831 ・・・・・・Auxiliary members on the pressing surface

H01L 2224/78311 ・・・・・・・Removable auxiliary member

H01L 2224/78312 ・・・・・・・Shape of the auxiliary member

H01L 2224/78313 ・・・・・Wedge

H01L 2224/78314 ・・・・・・Shape

H01L 2224/78315 ・・・・・・・of the pressing surface, e.g. tip or head

H01L 2224/78316 ・・・・・・・・comprising protrusions

H01L 2224/78317 ・・・・・・・of other portions

H01L 2224/78318 ・・・・・・・・inside the capillary

H01L 2224/78319 ・・・・・・・・outside the capillary

H01L 2224/7832 ・・・・・・Removable wedge

H01L 2224/78321 ・・・・・・Material

H01L 2224/78325 ・・・・・・Auxiliary members on the pressing surface

H01L 2224/78326 ・・・・・・・Removable auxiliary member

H01L 2224/78327 ・・・・・・・Shape of the auxiliary member

H01L 2224/78328 ・・・・・・・Material of the auxiliary member

H01L 2224/78343 ・・・・・by ultrasonic vibrations

H01L 2224/78344 ・・・・・・Eccentric cams

H01L 2224/78345 ・・・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/78346 ・・・・・・・in the upper part of the bonding apparatus, e.g. in the capillary or wedge

H01L 2224/78347 ・・・・・・Piezoelectric transducers

H01L 2224/78348 ・・・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/78349 ・・・・・・・in the upper part of the bonding apparatus, e.g. in the capillary or wedge

H01L 2224/7835 ・・・・・・Stable and mobile yokes

H01L 2224/78351 ・・・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/78352 ・・・・・・・in the upper part of the bonding apparatus, e.g. in the capillary or wedge

H01L 2224/78353 ・・・・・・Ultrasonic horns

H01L 2224/78354 ・・・・・・・in the lower part of the bonding apparatus, e.g. in the mounting chuck

H01L 2224/78355 ・・・・・・・Design, e.g. of the wave guide

H01L 2224/785 ・・・Cooling means

H01L 2224/78501 ・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/78502 ・・・・in the upper part of the bonding apparatus, e.g. in the capillary or wedge

H01L 2224/7855 ・・・Mechanical means, e.g. for severing, pressing, stamping

H01L 2224/786 ・・・Means for supplying the connector to be connected in the bonding apparatus

H01L 2224/78601 ・・・・Storing means

H01L 2224/78611 ・・・・Feeding means

H01L 2224/78621 ・・・・Holding means, e.g. wire clampers

H01L 2224/78631 ・・・・・Means for wire tension adjustments

H01L 2224/7865 ・・・Means for transporting the components to be connected

H01L 2224/78651 ・・・・Belt conveyor

H01L 2224/78652 ・・・・Chain conveyor

H01L 2224/78653 ・・・・Vibrating conveyor

H01L 2224/78654 ・・・・Pneumatic conveyor

H01L 2224/78655 ・・・・in a fluid

H01L 2224/787 ・・・Means for aligning

H01L 2224/78701 ・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/78702 ・・・・in the upper part of the bonding apparatus, e.g. in the capillary or wedge

H01L 2224/78703 ・・・・Mechanical holding means

H01L 2224/78704 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/78705 ・・・・・in the upper part of the bonding apparatus, e.g. in the capillary or wedge

H01L 2224/78723 ・・・・Electrostatic holding means

H01L 2224/78724 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/78725 ・・・・・in the upper part of the bonding apparatus, e.g. in the capillary or wedge

H01L 2224/78733 ・・・・Magnetic holding means

H01L 2224/78734 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/78735 ・・・・・in the upper part of the bonding apparatus, e.g. in the capillary or wedge

H01L 2224/78743 ・・・・Suction holding means

H01L 2224/78744 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/78745 ・・・・・in the upper part of the bonding apparatus, e.g. in the capillary or wedge

H01L 2224/78753 ・・・・Means for optical alignment, e.g. sensors

H01L 2224/78754 ・・・・Guiding structures

H01L 2224/78755 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/78756 ・・・・・in the upper part of the bonding apparatus, e.g. in the capillary or wedge

H01L 2224/788 ・・・Means for moving parts

H01L 2224/78801 ・・・・Lower part of the bonding apparatus, e.g. XY table

H01L 2224/78802 ・・・・・Rotational mechanism

H01L 2224/78803 ・・・・・・Pivoting mechanism

H01L 2224/78804 ・・・・・Translational mechanism

H01L 2224/78821 ・・・・Upper part of the bonding apparatus, i.e. bonding head, e.g. capillary or wedge

H01L 2224/78822 ・・・・・Rotational mechanism

H01L 2224/78823 ・・・・・・Pivoting mechanism

H01L 2224/78824 ・・・・・Translational mechanism

H01L 2224/78841 ・・・・of the pressing portion, e.g. tip or head

H01L 2224/78842 ・・・・・Rotational mechanism

H01L 2224/78843 ・・・・・・Pivoting mechanism

H01L 2224/789 ・・・Means for monitoring the connection process

H01L 2224/78901 ・・・・using a computer, e.g. fully- or semi-automatic bonding

H01L 2224/7892 ・・・・Load or pressure adjusting means, e.g. sensors

H01L 2224/78925 ・・・・Vibration adjusting means, e.g. sensors

H01L 2224/7895 ・・・Means for forming additional members

H01L 2224/7898 ・・・specially adapted for batch processes

H01L 2224/78981 ・・・Apparatus chuck

H01L 2224/78982 ・・・・Shape

H01L 2224/78983 ・・・・・of the mounting surface

H01L 2224/78984 ・・・・・of other portions

H01L 2224/78985 ・・・・Material

H01L 2224/78986 ・・・・Auxiliary members on the pressing surface

H01L 2224/78987 ・・・・・Shape of the auxiliary member

H01L 2224/78988 ・・・・・Material of the auxiliary member

H01L 2224/79 ・・Apparatus for Tape Automated Bonding [TAB]

H01L 2224/79001 ・・・Calibration means

H01L 2224/7901 ・・・Means for cleaning, e.g. brushes, for hydro blasting, for ultrasonic cleaning, for dry ice blasting, using gas-flow, by etching, by applying flux or plasma

H01L 2224/791 ・・・Means for controlling the bonding environment, e.g. valves, vacuum pumps

H01L 2224/79101 ・・・・Chamber

H01L 2224/79102 ・・・・・Vacuum chamber

H01L 2224/7911 ・・・・・High pressure chamber

H01L 2224/7915 ・・・Means for applying permanent coating

H01L 2224/79151 ・・・・Means for direct writing

H01L 2224/79152 ・・・・・Syringe

H01L 2224/79153 ・・・・・・integrated into the pressing head

H01L 2224/79155 ・・・・・Jetting means, e.g. ink jet

H01L 2224/79158 ・・・・・including a laser

H01L 2224/79161 ・・・・Means for screen printing, e.g. roller, squeegee, screen stencil

H01L 2224/7917 ・・・・Means for applying a preform, e.g. laminator

H01L 2224/79171 ・・・・・including a vacuum-bag

H01L 2224/7918 ・・・・Means for blanket deposition

H01L 2224/79181 ・・・・・for spin coating, i.e. spin coater

H01L 2224/79182 ・・・・・for curtain coating

H01L 2224/79183 ・・・・・for immersion coating, i.e. bath

H01L 2224/79184 ・・・・・for spray coating, i.e. nozzle

H01L 2224/79185 ・・・・・Means for physical vapour deposition [PVD], e.g. evaporation, sputtering

H01L 2224/79186 ・・・・・Means for sputtering, e.g. target

H01L 2224/79187 ・・・・・Means for evaporation

H01L 2224/79188 ・・・・・Means for chemical vapour deposition [CVD], e.g. for laser CVD

H01L 2224/79189 ・・・・・Means for plating, e.g. for electroplating, electroless plating

H01L 2224/792 ・・・Protection means against electrical discharge

H01L 2224/7925 ・・・Means for applying energy, e.g. heating means

H01L 2224/79251 ・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/79252 ・・・・in the upper part of the bonding apparatus, e.g. in the pressing head

H01L 2224/79253 ・・・・adapted for localised heating

H01L 2224/7926 ・・・・Polychromatic heating lamp

H01L 2224/79261 ・・・・Laser

H01L 2224/79262 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/79263 ・・・・・in the upper part of the bonding apparatus, e.g. in the pressing head

H01L 2224/79264 ・・・・by induction heating, i.e. coils

H01L 2224/79265 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/79266 ・・・・・in the upper part of the bonding apparatus, e.g. in the pressing head

H01L 2224/79267 ・・・・Flame torch, e.g. hydrogen torch

H01L 2224/79268 ・・・・Discharge electrode

H01L 2224/79269 ・・・・・Shape of the discharge electrode

H01L 2224/7927 ・・・・・Material of the discharge electrode

H01L 2224/79271 ・・・・・Circuitry of the discharge electrode

H01L 2224/79272 ・・・・Oven

H01L 2224/7928 ・・・・Resistance welding electrodes, i.e. for ohmic heating

H01L 2224/79281 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/79282 ・・・・・in the upper part of the bonding apparatus, e.g. in the pressing head

H01L 2224/79283 ・・・・by infrared heating, e.g. infrared heating lamp

H01L 2224/793 ・・・・by means of pressure

H01L 2224/79301 ・・・・・Pressing head

H01L 2224/79302 ・・・・・・Shape

H01L 2224/79303 ・・・・・・・of the pressing surface

H01L 2224/79304 ・・・・・・・・being curved

H01L 2224/79305 ・・・・・・・・comprising protrusions

H01L 2224/7931 ・・・・・・・of other parts

H01L 2224/79312 ・・・・・・Material

H01L 2224/79313 ・・・・・・Removable pressing head

H01L 2224/79314 ・・・・・・Auxiliary members on the pressing surface

H01L 2224/79315 ・・・・・・・Elastomer inlay

H01L 2224/79316 ・・・・・・・・with retaining mechanisms

H01L 2224/79317 ・・・・・・・Removable auxiliary member

H01L 2224/79318 ・・・・・・・Shape of the auxiliary member

H01L 2224/7932 ・・・・・・・Material of the auxiliary member

H01L 2224/79343 ・・・・・by ultrasonic vibrations

H01L 2224/79344 ・・・・・・Eccentric cams

H01L 2224/79345 ・・・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/79346 ・・・・・・・in the upper part of the bonding apparatus, e.g. in the pressing head

H01L 2224/79347 ・・・・・・Piezoelectric transducers

H01L 2224/79348 ・・・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/79349 ・・・・・・・in the upper part of the bonding apparatus, e.g. in the pressing head

H01L 2224/7935 ・・・・・・Stable and mobile yokes

H01L 2224/79351 ・・・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/79352 ・・・・・・・in the upper part of the bonding apparatus, e.g. in the pressing head

H01L 2224/79353 ・・・・・・Ultrasonic horns

H01L 2224/79354 ・・・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/79355 ・・・・・・・Design, e.g. of the wave guide

H01L 2224/795 ・・・Cooling means

H01L 2224/79501 ・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/79502 ・・・・in the upper part of the bonding apparatus, e.g. in the pressing head

H01L 2224/7955 ・・・Mechanical means, e.g. for pressing, stamping

H01L 2224/796 ・・・Means for supplying the connector to be connected in the bonding apparatus

H01L 2224/79601 ・・・・Storing means

H01L 2224/79611 ・・・・Feeding means

H01L 2224/79621 ・・・・Holding means

H01L 2224/7965 ・・・Means for transporting the components to be connected

H01L 2224/79651 ・・・・Belt conveyor

H01L 2224/79652 ・・・・Chain conveyor

H01L 2224/79653 ・・・・Vibrating conveyor

H01L 2224/79654 ・・・・Pneumatic conveyor

H01L 2224/79655 ・・・・in a fluid

H01L 2224/797 ・・・Means for aligning

H01L 2224/79701 ・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/79702 ・・・・in the upper part of the bonding apparatus, e.g. in the pressing head

H01L 2224/79703 ・・・・Mechanical holding means

H01L 2224/79704 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/79705 ・・・・・in the upper part of the bonding apparatus, e.g. in the pressing head

H01L 2224/79723 ・・・・Electrostatic holding means

H01L 2224/79724 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/79725 ・・・・・in the upper part of the bonding apparatus, e.g. in the pressing head

H01L 2224/79733 ・・・・Magnetic holding means

H01L 2224/79734 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/79735 ・・・・・in the upper part of the bonding apparatus, e.g. in the pressing head

H01L 2224/79743 ・・・・Suction holding means

H01L 2224/79744 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/79745 ・・・・・in the upper part of the bonding apparatus, e.g. in the pressing head

H01L 2224/79753 ・・・・Means for optical alignment, e.g. sensors

H01L 2224/79754 ・・・・Guiding structures

H01L 2224/79755 ・・・・・in the lower part of the bonding apparatus, e.g. in the apparatus chuck

H01L 2224/79756 ・・・・・in the upper part of the bonding apparatus, e.g. in the pressing head

H01L 2224/798 ・・・Means for moving parts

H01L 2224/79801 ・・・・Lower part of the bonding apparatus, e.g. XY table

H01L 2224/79802 ・・・・・Rotational mechanism

H01L 2224/79803 ・・・・・・Pivoting mechanism

H01L 2224/79804 ・・・・・Translational mechanism

H01L 2224/79821 ・・・・Upper part of the bonding apparatus, i.e. pressing head

H01L 2224/79822 ・・・・・Rotational mechanism

H01L 2224/79823 ・・・・・・Pivoting mechanism

H01L 2224/79824 ・・・・・Translational mechanism

H01L 2224/79841 ・・・・of the pressing head

H01L 2224/79842 ・・・・・Rotational mechanism

H01L 2224/79843 ・・・・・・Pivoting mechanism

H01L 2224/799 ・・・Means for monitoring the connection process

H01L 2224/79901 ・・・・using a computer, e.g. fully- or semi-automatic bonding

H01L 2224/7992 ・・・・Load or pressure adjusting means, e.g. sensors

H01L 2224/79925 ・・・・Vibration adjusting means, e.g. sensors

H01L 2224/7995 ・・・Means for forming additional members

H01L 2224/7998 ・・・specially adapted for batch processes

H01L 2224/79981 ・・・Apparatus chuck

H01L 2224/79982 ・・・・Shape

H01L 2224/79983 ・・・・・of the mounting surface

H01L 2224/79984 ・・・・・of other portions

H01L 2224/79985 ・・・・Material

H01L 2224/79986 ・・・・Auxiliary members on the pressing surface

H01L 2224/79987 ・・・・・Shape of the auxiliary member

H01L 2224/79988 ・・・・・Material of the auxiliary member

H01L 2224/7999 ・・{for disconnecting}

H01L 2224/80 ・Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected

H01L 2224/80001 ・・by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding

H01L 2224/80003 ・・・involving a temporary auxiliary member not forming part of the bonding apparatus

H01L 2224/80004 ・・・・being a removable or sacrificial coating

H01L 2224/80006 ・・・・being a temporary or sacrificial substrate

H01L 2224/80007 ・・・involving a permanent auxiliary member being left in the finished device e.g. aids for protecting the bonding area during or after the bonding process

H01L 2224/80009 ・・・Pre-treatment of the bonding area

H01L 2224/8001 ・・・・Cleaning the bonding area, e.g. oxide removal step, desmearing

H01L 2224/80011 ・・・・・Chemical cleaning, e.g. etching, flux

H01L 2224/80012 ・・・・・Mechanical cleaning, e.g. abrasion using hydro blasting, brushes, ultrasonic cleaning, dry ice blasting, gas-flow

H01L 2224/80013 ・・・・・Plasma cleaning

H01L 2224/80014 ・・・・・Thermal cleaning, e.g. decomposition, sublimation

H01L 2224/80019 ・・・・・Combinations of two or more cleaning methods provided for in at least two different groups from H01L 2224/8001 to H01L 2224/80014

H01L 2224/8002 ・・・・Applying permanent coating to the bonding area in the bonding apparatus, e.g. in-situ coating

H01L 2224/80024 ・・・・Applying flux to the bonding area in the bonding apparatus

H01L 2224/8003 ・・・・Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area

H01L 2224/80031 ・・・・・by chemical means, e.g. etching, anodisation

H01L 2224/80035 ・・・・・by heating means

H01L 2224/80037 ・・・・・・using a polychromatic heating lamp

H01L 2224/80039 ・・・・・・using a laser

H01L 2224/80041 ・・・・・・Induction heating, i.e. eddy currents

H01L 2224/80047 ・・・・・by mechanical means, e.g. severing, pressing, stamping

H01L 2224/80048 ・・・・Thermal treatments, e.g. annealing, controlled pre-heating or pre-cooling

H01L 2224/80051 ・・・・Forming additional members

H01L 2224/80052 ・・・Detaching bonding areas, e.g. after testing (unsoldering in general B23K 1/018)

H01L 2224/80053 ・・・Bonding environment

H01L 2224/80054 ・・・・Composition of the atmosphere

H01L 2224/80055 ・・・・・being oxidating

H01L 2224/80065 ・・・・・being reducing

H01L 2224/80075 ・・・・・being inert

H01L 2224/80085 ・・・・being a liquid, e.g. for fluidic self-assembly

H01L 2224/8009 ・・・・Vacuum

H01L 2224/80091 ・・・・Under pressure

H01L 2224/80092 ・・・・・Atmospheric pressure

H01L 2224/80093 ・・・・・Transient conditions, e.g. gas-flow

H01L 2224/80095 ・・・・Temperature settings

H01L 2224/80096 ・・・・・Transient conditions

H01L 2224/80097 ・・・・・・Heating

H01L 2224/80098 ・・・・・・Cooling

H01L 2224/80099 ・・・・・Ambient temperature

H01L 2224/8011 ・・・involving protection against electrical discharge, e.g. removing electrostatic charge

H01L 2224/8012 ・・・Aligning

H01L 2224/80121 ・・・・Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors

H01L 2224/80122 ・・・・・by detecting inherent features of, or outside, the semiconductor or solid-state body

H01L 2224/80123 ・・・・・・Shape or position of the body

H01L 2224/80125 ・・・・・・Bonding areas on the body

H01L 2224/80127 ・・・・・・Bonding areas outside the body

H01L 2224/80129 ・・・・・・Shape or position of the other item

H01L 2224/8013 ・・・・・using marks formed on the semiconductor or solid-state body

H01L 2224/80132 ・・・・・using marks formed outside the semiconductor or solid-state body, i.e. ?off-chip?

H01L 2224/80136 ・・・・involving guiding structures, e.g. spacers or supporting members

H01L 2224/80138 ・・・・・the guiding structures being at least partially left in the finished device

H01L 2224/80139 ・・・・・・Guiding structures on the body

H01L 2224/8014 ・・・・・・Guiding structures outside the body

H01L 2224/80141 ・・・・・・Guiding structures both on and outside the body

H01L 2224/80143 ・・・・Passive alignment, i.e. self alignment, e.g. using surface energy, chemical reactions, thermal equilibrium

H01L 2224/80148 ・・・・involving movement of a part of the bonding apparatus

H01L 2224/80149 ・・・・・being the lower part of the bonding apparatus, i.e. holding means for the bodies to be connected, e.g. XY table

H01L 2224/8015 ・・・・・・Rotational movements

H01L 2224/8016 ・・・・・・Translational movements

H01L 2224/80169 ・・・・・being the upper part of the bonding apparatus, i.e. bonding head

H01L 2224/8017 ・・・・・・Rotational movements

H01L 2224/8018 ・・・・・・Translational movements

H01L 2224/8019 ・・・Arrangement of the bonding areas prior to mounting

H01L 2224/80194 ・・・・Lateral distribution of the bonding areas

H01L 2224/802 ・・・Applying energy for connecting

H01L 2224/80201 ・・・・Compression bonding

H01L 2224/80203 ・・・・・Thermocompression bonding, e.g. diffusion bonding, pressure joining, thermocompression welding or solid-state welding

H01L 2224/80204 ・・・・・・with a graded temperature profile

H01L 2224/80205 ・・・・・Ultrasonic bonding

H01L 2224/80206 ・・・・・・Direction of oscillation

H01L 2224/80207 ・・・・・・Thermosonic bonding

H01L 2224/80209 ・・・・・applying unidirectional static pressure

H01L 2224/80211 ・・・・・applying isostatic pressure, e.g. degassing using vacuum or a pressurised liquid

H01L 2224/80213 ・・・・using a reflow oven

H01L 2224/80215 ・・・・・with a graded temperature profile

H01L 2224/8022 ・・・・with energy being in the form of electromagnetic radiation

H01L 2224/80222 ・・・・・Induction heating, i.e. eddy currents

H01L 2224/80224 ・・・・・using a laser

H01L 2224/8023 ・・・・・Polychromatic or infrared lamp heating

H01L 2224/80232 ・・・・using an autocatalytic reaction, e.g. exothermic brazing

H01L 2224/80234 ・・・・using means for applying energy being within the device, e.g. integrated heater

H01L 2224/80236 ・・・・using electro-static corona discharge

H01L 2224/80237 ・・・・using an electron beam (electron beam welding in general B23K15)

H01L 2224/80238 ・・・・using electric resistance welding, i.e. ohmic heating

H01L 2224/8034 ・・・Bonding interfaces of the bonding area

H01L 2224/80345 ・・・・Shape, e.g. interlocking features

H01L 2224/80355 ・・・・having an external coating, e.g. protective bond-through coating

H01L 2224/80357 ・・・・being flush with the surface

H01L 2224/80359 ・・・・Material

H01L 2224/8036 ・・・Bonding interfaces of the semiconductor or solid state body

H01L 2224/80365 ・・・・Shape, e.g. interlocking features

H01L 2224/80375 ・・・・having an external coating, e.g. protective bond-through coating

H01L 2224/80379 ・・・・Material (material of the bonding area prior to the connecting process H01L 2224/05099 and H01L 2224/05599)

H01L 2224/8038 ・・・Bonding interfaces outside the semiconductor or solid-state body

H01L 2224/80385 ・・・・Shape, e.g. interlocking features

H01L 2224/80395 ・・・・having an external coating, e.g. protective bond-through coating

H01L 2224/80399 ・・・・Material

H01L 2224/804 ・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/80401 ・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/80405 ・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/80409 ・・・・・・・Indium [In] as principal constituent

H01L 2224/80411 ・・・・・・・Tin [Sn] as principal constituent

H01L 2224/80413 ・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/80414 ・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/80416 ・・・・・・・Lead [Pb] as principal constituent

H01L 2224/80417 ・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/80418 ・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/8042 ・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/80423 ・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/80424 ・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/80438 ・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/80439 ・・・・・・・Silver [Ag] as principal constituent

H01L 2224/80444 ・・・・・・・Gold [Au] as principal constituent

H01L 2224/80447 ・・・・・・・Copper [Cu] as principal constituent

H01L 2224/80449 ・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/80455 ・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/80457 ・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/8046 ・・・・・・・Iron [Fe] as principal constituent

H01L 2224/80463 ・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/80464 ・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/80466 ・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/80469 ・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/8047 ・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/80471 ・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/80472 ・・・・・・・Vanadium [V] as principal constituent

H01L 2224/80473 ・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/80476 ・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/80478 ・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/80479 ・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/8048 ・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/80481 ・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/80483 ・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/80484 ・・・・・・・Tungsten [W] as principal constituent

H01L 2224/80486 ・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/80487 ・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/80488)

H01L 2224/80488 ・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/8049 ・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/80491 ・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/80493 ・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/804 to H01L 2224/80491, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/80494 ・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/804 to H01L 2224/80491

H01L 2224/80495 ・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/804 to H01L 2224/80491

H01L 2224/80498 ・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/80499 ・・・・・・Material of the matrix

H01L 2224/805 ・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/80501 ・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/80505 ・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/80509 ・・・・・・・・・Indium [In] as principal constituent

H01L 2224/80511 ・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/80513 ・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/80514 ・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/80516 ・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/80517 ・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/80518 ・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/8052 ・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/80523 ・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/80524 ・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/80538 ・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/80539 ・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/80544 ・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/80547 ・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/80549 ・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/80555 ・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/80557 ・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/8056 ・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/80563 ・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/80564 ・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/80566 ・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/80569 ・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/8057 ・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/80571 ・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/80572 ・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/80573 ・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/80576 ・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/80578 ・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/80579 ・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/8058 ・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/80581 ・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/80583 ・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/80584 ・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/80586 ・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/80587 ・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/80588)

H01L 2224/80588 ・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/8059 ・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/80591 ・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/80593 ・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/805 to H01L 2224/80591, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/80594 ・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/805 to H01L 2224/80591

H01L 2224/80595 ・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/805 to H01L 2224/80591

H01L 2224/80598 ・・・・・・Fillers

H01L 2224/80599 ・・・・・・・Base material

H01L 2224/806 ・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/80601 ・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/80605 ・・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/80609 ・・・・・・・・・・Indium [In] as principal constituent

H01L 2224/80611 ・・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/80613 ・・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/80614 ・・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/80616 ・・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/80617 ・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/80618 ・・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/8062 ・・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/80623 ・・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/80624 ・・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/80638 ・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/80639 ・・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/80644 ・・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/80647 ・・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/80649 ・・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/80655 ・・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/80657 ・・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/8066 ・・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/80663 ・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/80664 ・・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/80666 ・・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/80669 ・・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/8067 ・・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/80671 ・・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/80672 ・・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/80673 ・・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/80676 ・・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/80678 ・・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/80679 ・・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/8068 ・・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/80681 ・・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/80683 ・・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/80684 ・・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/80686 ・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/80687 ・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/80688)

H01L 2224/80688 ・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/8069 ・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/80691 ・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/80693 ・・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/806 to H01L 2224/80691, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/80694 ・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/806 to H01L 2224/80691

H01L 2224/80695 ・・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/806 to H01L 2224/80691

H01L 2224/80698 ・・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/80699 ・・・・・・・Coating material

H01L 2224/807 ・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/80701 ・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/80705 ・・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/80709 ・・・・・・・・・・Indium [In] as principal constituent

H01L 2224/80711 ・・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/80713 ・・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/80714 ・・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/80716 ・・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/80717 ・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/80718 ・・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/8072 ・・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/80723 ・・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/80724 ・・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/80738 ・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/80739 ・・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/80744 ・・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/80747 ・・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/80749 ・・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/80755 ・・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/80757 ・・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/8076 ・・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/80763 ・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/80764 ・・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/80766 ・・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/80769 ・・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/8077 ・・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/80771 ・・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/80772 ・・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/80773 ・・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/80776 ・・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/80778 ・・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/80779 ・・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/8078 ・・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/80781 ・・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/80783 ・・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/80784 ・・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/80786 ・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/80787 ・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/80788)

H01L 2224/80788 ・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/8079 ・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/80791 ・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/80793 ・・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/807 to H01L 2224/80791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/80794 ・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/807 to H01L 2224/80791

H01L 2224/80795 ・・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/807 to H01L 2224/80791

H01L 2224/80798 ・・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/80799 ・・・・・・・Shape or distribution of the fillers

H01L 2224/808 ・・・Bonding techniques

H01L 2224/80801 ・・・・Soldering or alloying

H01L 2224/80805 ・・・・・involving forming a eutectic alloy at the bonding interface

H01L 2224/8081 ・・・・・involving forming an intermetallic compound at the bonding interface

H01L 2224/80815 ・・・・・Reflow soldering

H01L 2224/8082 ・・・・・Diffusion bonding

H01L 2224/80825 ・・・・・・Solid-liquid interdiffusion

H01L 2224/8083 ・・・・・・Solid-solid interdiffusion

H01L 2224/8084 ・・・・Sintering

H01L 2224/8085 ・・・・using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester

H01L 2224/80855 ・・・・・Hardening the adhesive by curing, i.e. thermosetting

H01L 2224/80856 ・・・・・・Pre-cured adhesive, i.e. B-stage adhesive

H01L 2224/80859 ・・・・・・Localised curing of parts of the bonding area

H01L 2224/80862 ・・・・・・Heat curing

H01L 2224/80865 ・・・・・・Microwave curing

H01L 2224/80868 ・・・・・・Infrared [IR] curing

H01L 2224/80871 ・・・・・・Visible light curing

H01L 2224/80874 ・・・・・・Ultraviolet [UV] curing

H01L 2224/80877 ・・・・・・Moisture curing, i.e. curing by exposing to humidity, e.g. for silicones and polyurethanes

H01L 2224/8088 ・・・・・Hardening the adhesive by cooling, e.g. for thermoplastics or hot-melt adhesives

H01L 2224/80885 ・・・・・Combinations of two or more hardening methods provided for in at least two different groups from H01L 2224/80855 to H01L 2224/8088, e.g. for hybrid thermoplastic-thermosetting adhesives

H01L 2224/8089 ・・・・using an inorganic non metallic glass type adhesive, e.g. solder glass

H01L 2224/80893 ・・・・Anodic bonding, i.e. bonding by applying a voltage across the interface in order to induce ions migration leading to an irreversible chemical bond

H01L 2224/80894 ・・・・Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces

H01L 2224/80895 ・・・・・between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding

H01L 2224/80896 ・・・・・between electrically insulating surfaces, e.g. oxide or nitride layers

H01L 2224/80897 ・・・・Mechanical interlocking, e.g. anchoring, hook and loop-type fastening or the like

H01L 2224/80898 ・・・・・Press-fitting, i.e. pushing the parts together and fastening by friction, e.g. by compression of one part against the other

H01L 2224/80899 ・・・・・・using resilient parts in the bonding area

H01L 2224/809 ・・・with the bonding area not providing any mechanical bonding

H01L 2224/80901 ・・・・Pressing a bonding area against another bonding area by means of a further bonding area or connector (detachable pressure contact H01L 224/72)

H01L 2224/80902 ・・・・・by means of a further bonding area

H01L 2224/80903 ・・・・・by means of a bump or layer connector

H01L 2224/80904 ・・・・・by means of an encapsulation layer or foil

H01L 2224/80905 ・・・Combinations of bonding methods provided for in at least two different groups from H01L 2224/808 to H01L 2224/80904

H01L 2224/80906 ・・・・Specific sequence of method steps

H01L 2224/80907 ・・・・Intermediate bonding, i.e. intermediate bonding step for temporarily bonding the semiconductor or solid-state body, followed by at least a further bonding step

H01L 2224/80908 ・・・involving monitoring, e.g. feedback loop

H01L 2224/80909 ・・・Post-treatment of the bonding area

H01L 2224/8091 ・・・・Cleaning, e.g. oxide removal step, desmearing

H01L 2224/80911 ・・・・・Chemical cleaning, e.g. etching, flux

H01L 2224/80912 ・・・・・Mechanical cleaning, e.g. abrasion using hydro blasting, brushes, ultrasonic cleaning, dry ice blasting, gas-flow

H01L 2224/80913 ・・・・・Plasma cleaning

H01L 2224/80914 ・・・・・Thermal cleaning, e.g. using laser ablation or by electrostatic corona discharge

H01L 2224/80919 ・・・・・Combinations of two or more cleaning methods provided for in at least two different groups from H01L 2224/8091 to H01L 2224/80914

H01L 2224/8092 ・・・・Applying permanent coating, e.g. protective coating

H01L 2224/8093 ・・・・Reshaping

H01L 2224/80931 ・・・・・by chemical means, e.g. etching

H01L 2224/80935 ・・・・・by heating means, e.g. reflowing

H01L 2224/80937 ・・・・・・using a polychromatic heating lamp

H01L 2224/80939 ・・・・・・using a laser

H01L 2224/80941 ・・・・・・Induction heating, i.e. eddy currents

H01L 2224/80943 ・・・・・・using a flame torch, e.g. hydrogen torch

H01L 2224/80945 ・・・・・・using a corona discharge, e.g. electronic flame off [EFO]

H01L 2224/80947 ・・・・・by mechanical means, e.g. ?pull-and-cut?, pressing, stamping

H01L 2224/80948 ・・・・Thermal treatments, e.g. annealing, controlled cooling

H01L 2224/80951 ・・・・Forming additional members, e.g. for reinforcing

H01L 2224/80986 ・・・Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence

H01L 2224/81 ・・using a bump connector

H01L 2224/81001 ・・・involving a temporary auxiliary member not forming part of the bonding apparatus

H01L 2224/81002 ・・・・being a removable or sacrificial coating

H01L 2224/81005 ・・・・being a temporary or sacrificial substrate

H01L 2224/81007 ・・・involving a permanent auxiliary member being left in the finished device e.g. aids for holding or protecting the bump connector during or after the bonding process

H01L 2224/81009 ・・・Pre-treatment of the bump connector or the bonding area

H01L 2224/8101 ・・・・Cleaning the bump connector, e.g. oxide removal step, desmearing

H01L 2224/81011 ・・・・・Chemical cleaning, e.g. etching, flux

H01L 2224/81012 ・・・・・Mechanical cleaning, e.g. abrasion using hydro blasting, brushes, ultrasonic cleaning, dry ice blasting, gas-flow

H01L 2224/81013 ・・・・・Plasma cleaning

H01L 2224/81014 ・・・・・Thermal cleaning, e.g. decomposition, sublimation

H01L 2224/81019 ・・・・・Combinations of two or more cleaning methods provided for in at least two different groups from H01L 2224/8101 to H01L 2224/81014

H01L 2224/8102 ・・・・Applying permanent coating to the bump connector in the bonding apparatus, e.g. in-situ coating

H01L 2224/81022 ・・・・Cleaning the bonding area, e.g. oxide removal step, desmearing

H01L 2224/81024 ・・・・Applying flux to the bonding area

H01L 2224/81026 ・・・・Applying a precursor material to the bonding area

H01L 2224/8103 ・・・・Reshaping the bump connector in the bonding apparatus, e.g. flattening the bump connector

H01L 2224/81031 ・・・・・by chemical means, e.g. etching, anodisation

H01L 2224/81035 ・・・・・by heating means

H01L 2224/81037 ・・・・・・using a polychromatic heating lamp

H01L 2224/81039 ・・・・・・using a laser

H01L 2224/81041 ・・・・・・Induction heating, i.e. eddy currents

H01L 2224/81047 ・・・・・by mechanical means, e.g. severing, pressing, stamping

H01L 2224/81048 ・・・・Thermal treatments, e.g. annealing, controlled pre-heating or pre-cooling

H01L 2224/81051 ・・・・Forming additional members

H01L 2224/81052 ・・・Detaching bump connectors, e.g. after testing (unsoldering in general B23K 1/018)

H01L 2224/81053 ・・・Bonding environment

H01L 2224/81054 ・・・・Composition of the atmosphere

H01L 2224/81055 ・・・・・being oxidating

H01L 2224/81065 ・・・・・being reducing

H01L 2224/81075 ・・・・・being inert

H01L 2224/81085 ・・・・being a liquid, e.g. for fluidic self-assembly

H01L 2224/8109 ・・・・Vacuum

H01L 2224/81091 ・・・・Under pressure

H01L 2224/81092 ・・・・・Atmospheric pressure

H01L 2224/81093 ・・・・・Transient conditions, e.g. gas-flow

H01L 2224/81095 ・・・・Temperature settings

H01L 2224/81096 ・・・・・Transient conditions

H01L 2224/81097 ・・・・・・Heating

H01L 2224/81098 ・・・・・・Cooling

H01L 2224/81099 ・・・・・Ambient temperature

H01L 2224/811 ・・・the bump connector being supplied to the parts to be connected in the bonding apparatus

H01L 2224/81101 ・・・・as prepeg comprising a bump connector, e.g. provided in an insulating plate member

H01L 2224/8111 ・・・involving protection against electrical discharge, e.g. removing electrostatic charge

H01L 2224/8112 ・・・Aligning

H01L 2224/81121 ・・・・Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors

H01L 2224/81122 ・・・・・by detecting inherent features of, or outside, the semiconductor or solid-state body

H01L 2224/81123 ・・・・・・Shape or position of the body

H01L 2224/81125 ・・・・・・Bonding areas on the body

H01L 2224/81127 ・・・・・・Bonding areas outside the body

H01L 2224/81129 ・・・・・・Shape or position of the other item

H01L 2224/8113 ・・・・・using marks formed on the semiconductor or solid-state body

H01L 2224/81132 ・・・・・using marks formed outside the semiconductor or solid-state body, i.e. ?off-chip?

H01L 2224/81136 ・・・・involving guiding structures, e.g. spacers or supporting members

H01L 2224/81138 ・・・・・the guiding structures being at least partially left in the finished device

H01L 2224/81139 ・・・・・・Guiding structures on the body

H01L 2224/8114 ・・・・・・Guiding structures outside the body

H01L 2224/81141 ・・・・・・Guiding structures both on and outside the body

H01L 2224/81143 ・・・・Passive alignment, i.e. self alignment, e.g. using surface energy, chemical reactions, thermal equilibrium

H01L 2224/81148 ・・・・involving movement of a part of the bonding apparatus

H01L 2224/81149 ・・・・・being the lower part of the bonding apparatus, i.e. holding means for the bodies to be connected, e.g. XY table

H01L 2224/8115 ・・・・・・Rotational movements

H01L 2224/8116 ・・・・・・Translational movements

H01L 2224/81169 ・・・・・being the upper part of the bonding apparatus, i.e. bonding head

H01L 2224/8117 ・・・・・・Rotational movements

H01L 2224/8118 ・・・・・・Translational movements

H01L 2224/8119 ・・・Arrangement of the bump connectors prior to mounting

H01L 2224/81191 ・・・・wherein the bump connectors are disposed only on the semiconductor or solid-state body

H01L 2224/81192 ・・・・wherein the bump connectors are disposed only on another item or body to be connected to the semiconductor or solid-state body

H01L 2224/81193 ・・・・wherein the bump connectors are disposed on both the semiconductor or solid-state body and another item or body to be connected to the semiconductor or solid-state body

H01L 2224/81194 ・・・・Lateral distribution of the bump connectors

H01L 2224/812 ・・・Applying energy for connecting

H01L 2224/81201 ・・・・Compression bonding

H01L 2224/81203 ・・・・・Thermocompression bonding, e.g. diffusion bonding, pressure joining, thermocompression welding or solid-state welding

H01L 2224/81204 ・・・・・・with a graded temperature profile

H01L 2224/81205 ・・・・・Ultrasonic bonding

H01L 2224/81206 ・・・・・・Direction of oscillation

H01L 2224/81207 ・・・・・・Thermosonic bonding

H01L 2224/81208 ・・・・・applying unidirectional static pressure

H01L 2224/81209 ・・・・・applying isostatic pressure, e.g. degassing using vacuum or a pressurised liquid

H01L 2224/8121 ・・・・using a reflow oven

H01L 2224/81211 ・・・・・with a graded temperature profile

H01L 2224/8122 ・・・・with energy being in the form of electromagnetic radiation

H01L 2224/81222 ・・・・・Induction heating, i.e. eddy currents

H01L 2224/81224 ・・・・・using a laser

H01L 2224/8123 ・・・・・Polychromatic or infrared lamp heating

H01L 2224/81232 ・・・・using an autocatalytic reaction, e.g. exothermic brazing

H01L 2224/81234 ・・・・using means for applying energy being within the device, e.g. integrated heater

H01L 2224/81236 ・・・・using electro-static corona discharge

H01L 2224/81237 ・・・・using an electron beam (electron beam welding in general B23K15)

H01L 2224/81238 ・・・・using electric resistance welding, i.e. ohmic heating

H01L 2224/8134 ・・・Bonding interfaces of the bump connector

H01L 2224/81345 ・・・・Shape, e.g. interlocking features

H01L 2224/81355 ・・・・having an external coating, e.g. protective bond-through coating

H01L 2224/81359 ・・・・Material

H01L 2224/8136 ・・・Bonding interfaces of the semiconductor or solid state body

H01L 2224/81365 ・・・・Shape, e.g. interlocking features

H01L 2224/81375 ・・・・having an external coating, e.g. protective bond-through coating

H01L 2224/81379 ・・・・Material (material of the bump connector prior to the connecting process H01L 2224/13099 and H01L 2224/13599, and subgroups)

H01L 2224/8138 ・・・Bonding interfaces outside the semiconductor or solid-state body

H01L 2224/81385 ・・・・Shape, e.g. interlocking features

H01L 2224/81395 ・・・・having an external coating, e.g. protective bond-through coating

H01L 2224/81399 ・・・・Material

H01L 2224/814 ・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/81401 ・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/81405 ・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/81409 ・・・・・・・Indium [In] as principal constituent

H01L 2224/81411 ・・・・・・・Tin [Sn] as principal constituent

H01L 2224/81413 ・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/81414 ・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/81416 ・・・・・・・Lead [Pb] as principal constituent

H01L 2224/81417 ・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/81418 ・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/8142 ・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/81423 ・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/81424 ・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/81438 ・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/81439 ・・・・・・・Silver [Ag] as principal constituent

H01L 2224/81444 ・・・・・・・Gold [Au] as principal constituent

H01L 2224/81447 ・・・・・・・Copper [Cu] as principal constituent

H01L 2224/81449 ・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/81455 ・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/81457 ・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/8146 ・・・・・・・Iron [Fe] as principal constituent

H01L 2224/81463 ・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/81464 ・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/81466 ・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/81469 ・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/8147 ・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/81471 ・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/81472 ・・・・・・・Vanadium [V] as principal constituent

H01L 2224/81473 ・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/81476 ・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/81478 ・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/81479 ・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/8148 ・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/81481 ・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/81483 ・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/81484 ・・・・・・・Tungsten [W] as principal constituent

H01L 2224/81486 ・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/81487 ・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/81488)

H01L 2224/81488 ・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/8149 ・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/81491 ・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/81493 ・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/814 to H01L 2224/81491, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/81494 ・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/814 to H01L 2224/81491

H01L 2224/81495 ・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/814 to H01L 2224/81491

H01L 2224/81498 ・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/81499 ・・・・・・Material of the matrix

H01L 2224/815 ・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/81501 ・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/81505 ・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/81509 ・・・・・・・・・Indium [In] as principal constituent

H01L 2224/81511 ・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/81513 ・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/81514 ・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/81516 ・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/81517 ・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/81518 ・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/8152 ・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/81523 ・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/81524 ・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/81538 ・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/81539 ・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/81544 ・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/81547 ・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/81549 ・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/81555 ・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/81557 ・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/8156 ・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/81563 ・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/81564 ・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/81566 ・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/81569 ・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/8157 ・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/81571 ・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/81572 ・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/81573 ・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/81576 ・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/81578 ・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/81579 ・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/8158 ・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/81581 ・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/81583 ・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/81584 ・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/81586 ・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/81587 ・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/81588)

H01L 2224/81588 ・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/8159 ・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/81591 ・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/81593 ・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/815 to H01L 2224/81591, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/81594 ・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/815 to H01L 2224/81591

H01L 2224/81595 ・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/815 to H01L 2224/81591

H01L 2224/81598 ・・・・・・Fillers

H01L 2224/81599 ・・・・・・・Base material

H01L 2224/816 ・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/81601 ・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/81605 ・・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/81609 ・・・・・・・・・・Indium [In] as principal constituent

H01L 2224/81611 ・・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/81613 ・・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/81614 ・・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/81616 ・・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/81617 ・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/81618 ・・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/8162 ・・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/81623 ・・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/81624 ・・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/81638 ・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/81639 ・・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/81644 ・・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/81647 ・・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/81649 ・・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/81655 ・・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/81657 ・・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/8166 ・・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/81663 ・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/81664 ・・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/81666 ・・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/81669 ・・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/8167 ・・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/81671 ・・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/81672 ・・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/81673 ・・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/81676 ・・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/81678 ・・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/81679 ・・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/8168 ・・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/81681 ・・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/81683 ・・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/81684 ・・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/81686 ・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/81687 ・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/81688)

H01L 2224/81688 ・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/8169 ・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/81691 ・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/81693 ・・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/816 to H01L 2224/81691, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/81694 ・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/816 to H01L 2224/81691

H01L 2224/81695 ・・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/816 to H01L 2224/81691

H01L 2224/81698 ・・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/81699 ・・・・・・・Coating material

H01L 2224/817 ・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/81701 ・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/81705 ・・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/81709 ・・・・・・・・・・Indium [In] as principal constituent

H01L 2224/81711 ・・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/81713 ・・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/81714 ・・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/81716 ・・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/81717 ・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/81718 ・・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/8172 ・・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/81723 ・・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/81724 ・・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/81738 ・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/81739 ・・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/81744 ・・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/81747 ・・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/81749 ・・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/81755 ・・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/81757 ・・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/8176 ・・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/81763 ・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/81764 ・・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/81766 ・・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/81769 ・・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/8177 ・・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/81771 ・・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/81772 ・・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/81773 ・・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/81776 ・・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/81778 ・・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/81779 ・・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/8178 ・・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/81781 ・・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/81783 ・・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/81784 ・・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/81786 ・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/81787 ・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/81788)

H01L 2224/81788 ・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/8179 ・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/81791 ・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/81793 ・・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/817 to H01L 2224/81791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/81794 ・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/817 to H01L 2224/81791

H01L 2224/81795 ・・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/817 to H01L 2224/81791

H01L 2224/81798 ・・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/81799 ・・・・・・・Shape or distribution of the fillers

H01L 2224/818 ・・・Bonding techniques

H01L 2224/81801 ・・・・Soldering or alloying

H01L 2224/81805 ・・・・・involving forming a eutectic alloy at the bonding interface

H01L 2224/8181 ・・・・・involving forming an intermetallic compound at the bonding interface

H01L 2224/81815 ・・・・・Reflow soldering

H01L 2224/8182 ・・・・・Diffusion bonding

H01L 2224/81825 ・・・・・・Solid-liquid interdiffusion

H01L 2224/8183 ・・・・・・Solid-solid interdiffusion

H01L 2224/8184 ・・・・Sintering

H01L 2224/8185 ・・・・using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester

H01L 2224/81855 ・・・・・Hardening the adhesive by curing, i.e. thermosetting

H01L 2224/81856 ・・・・・・Pre-cured adhesive, i.e. B-stage adhesive

H01L 2224/81859 ・・・・・・Localised curing of parts of the bump connector

H01L 2224/81862 ・・・・・・Heat curing

H01L 2224/81865 ・・・・・・Microwave curing

H01L 2224/81868 ・・・・・・Infrared [IR] curing

H01L 2224/81871 ・・・・・・Visible light curing

H01L 2224/81874 ・・・・・・Ultraviolet [UV] curing

H01L 2224/81877 ・・・・・・Moisture curing, i.e. curing by exposing to humidity, e.g. for silicones and polyurethanes

H01L 2224/8188 ・・・・・Hardening the adhesive by cooling, e.g. for thermoplastics or hot-melt adhesives

H01L 2224/81885 ・・・・・Combinations of two or more hardening methods provided for in at least two different groups from H01L 2224/81855 to H01L 2224/8188, e.g. for hybrid thermoplastic-thermosetting adhesives

H01L 2224/8189 ・・・・using an inorganic non metallic glass type adhesive, e.g. solder glass

H01L 2224/81893 ・・・・Anodic bonding, i.e. bonding by applying a voltage across the interface in order to induce ions migration leading to an irreversible chemical bond

H01L 2224/81894 ・・・・Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces

H01L 2224/81895 ・・・・・between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding

H01L 2224/81896 ・・・・・between electrically insulating surfaces, e.g. oxide or nitride layers

H01L 2224/81897 ・・・・Mechanical interlocking, e.g. anchoring, hook and loop-type fastening or the like

H01L 2224/81898 ・・・・・Press-fitting, i.e. pushing the parts together and fastening by friction, e.g. by compression of one part against the other

H01L 2224/81899 ・・・・・・using resilient parts in the bump connector or in the bonding area

H01L 2224/819 ・・・with the bump connector not providing any mechanical bonding

H01L 2224/81901 ・・・・Pressing the bump connector against the bonding areas by means of another connector (detachable pressure contact H01L 224/72)

H01L 2224/81902 ・・・・・by means of another bump connector

H01L 2224/81903 ・・・・・by means of a layer connector

H01L 2224/81904 ・・・・・by means of an encapsulation layer or foil

H01L 2224/81905 ・・・Combinations of bonding methods provided for in at least two different groups from H01L 2224/818 to H01L 2224/81904

H01L 2224/81906 ・・・・Specific sequence of method steps

H01L 2224/81907 ・・・・Intermediate bonding, i.e. intermediate bonding step for temporarily bonding the semiconductor or solid-state body, followed by at least a further bonding step

H01L 2224/81908 ・・・involving monitoring, e.g. feedback loop

H01L 2224/81909 ・・・Post-treatment of the bump connector or bonding area

H01L 2224/8191 ・・・・Cleaning, e.g. oxide removal step, desmearing

H01L 2224/81911 ・・・・・Chemical cleaning, e.g. etching, flux

H01L 2224/81912 ・・・・・Mechanical cleaning, e.g. abrasion using hydro blasting, brushes, ultrasonic cleaning, dry ice blasting, gas-flow

H01L 2224/81913 ・・・・・Plasma cleaning

H01L 2224/81914 ・・・・・Thermal cleaning, e.g. using laser ablation or by electrostatic corona discharge

H01L 2224/81919 ・・・・・Combinations of two or more cleaning methods provided for in at least two different groups from H01L 2224/8191 to H01L 2224/81914

H01L 2224/8192 ・・・・Applying permanent coating, e.g. protective coating

H01L 2224/8193 ・・・・Reshaping

H01L 2224/81931 ・・・・・by chemical means, e.g. etching

H01L 2224/81935 ・・・・・by heating means, e.g. reflowing

H01L 2224/81937 ・・・・・・using a polychromatic heating lamp

H01L 2224/81939 ・・・・・・using a laser

H01L 2224/81941 ・・・・・・Induction heating, i.e. eddy currents

H01L 2224/81943 ・・・・・・using a flame torch, e.g. hydrogen torch

H01L 2224/81945 ・・・・・・using a corona discharge, e.g. electronic flame off [EFO]

H01L 2224/81947 ・・・・・by mechanical means, e.g. ?pull-and-cut?, pressing, stamping

H01L 2224/81948 ・・・・Thermal treatments, e.g. annealing, controlled cooling

H01L 2224/81951 ・・・・Forming additional members, e.g. for reinforcing

H01L 2224/81986 ・・・Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence

H01L 2224/82 ・・by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]

H01L 2224/82001 ・・・involving a temporary auxiliary member not forming part of the bonding apparatus

H01L 2224/82002 ・・・・being a removable or sacrificial coating

H01L 2224/82005 ・・・・being a temporary or sacrificial substrate

H01L 2224/82007 ・・・involving a permanent auxiliary member being left in the finished device e.g. aids for holding or protecting a build-up interconnect during or after the bonding process

H01L 2224/82009 ・・・Pre-treatment of the connector or the bonding area

H01L 2224/8201 ・・・・Cleaning, e.g. oxide removal step, desmearing

H01L 2224/8203 ・・・・Reshaping, e.g. forming vias

H01L 2224/82031 ・・・・・by chemical means, e.g. etching, anodisation

H01L 2224/82035 ・・・・・by heating means

H01L 2224/82039 ・・・・・・using a laser

H01L 2224/82045 ・・・・・・using a corona discharge, e.g. electronic flame off [EFO]

H01L 2224/82047 ・・・・・by mechanical means, e.g. severing, pressing, stamping

H01L 2224/82048 ・・・・Thermal treatments, e.g. annealing, controlled pre-heating or pre-cooling

H01L 2224/82051 ・・・・Forming additional members

H01L 2224/82053 ・・・Bonding environment

H01L 2224/82054 ・・・・Composition of the atmosphere

H01L 2224/82085 ・・・・being a liquid, e.g. for fluidic self-assembly

H01L 2224/8209 ・・・・Vacuum

H01L 2224/82091 ・・・・Under pressure

H01L 2224/82095 ・・・・Temperature settings

H01L 2224/82096 ・・・・・Transient conditions

H01L 2224/82097 ・・・・・・Heating

H01L 2224/82098 ・・・・・・Cooling

H01L 2224/82099 ・・・・・Ambient temperature

H01L 2224/821 ・・・Forming a build-up interconnect

H01L 2224/82101 ・・・・by additive methods, e.g. direct writing

H01L 2224/82102 ・・・・・using jetting, e.g. ink jet

H01L 2224/82103 ・・・・・using laser direct writing

H01L 2224/82104 ・・・・・using screen printing

H01L 2224/82105 ・・・・・by using a preform

H01L 2224/82106 ・・・・by substractive methods

H01L 2224/82108 ・・・・by self-assembly processes

H01L 2224/8211 ・・・involving protection against electrical discharge, e.g. removing electrostatic charge

H01L 2224/8212 ・・・Aligning

H01L 2224/82121 ・・・・Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors

H01L 2224/82122 ・・・・・by detecting inherent features of, or outside, the semiconductor or solid-state body

H01L 2224/8213 ・・・・・using marks formed on the semiconductor or solid-state body

H01L 2224/82132 ・・・・・using marks formed outside the semiconductor or solid-state body, i.e. "off-chip"

H01L 2224/82136 ・・・・involving guiding structures, e.g. spacers or supporting members

H01L 2224/82138 ・・・・・the guiding structures being at least partially left in the finished device

H01L 2224/82143 ・・・・Passive alignment, i.e. self alignment, e.g. using surface energy, chemical reactions, thermal equilibrium

H01L 2224/82148 ・・・・involving movement of a part of the bonding apparatus

H01L 2224/82149 ・・・・・being the lower part of the bonding apparatus, i.e. holding means for the bodies to be connected, e.g. XY table

H01L 2224/8215 ・・・・・・Rotational movements

H01L 2224/8216 ・・・・・・Translational movements

H01L 2224/82169 ・・・・・being the upper part of the bonding apparatus, e.g. nozzle

H01L 2224/8217 ・・・・・・Rotational movement

H01L 2224/8218 ・・・・・・Translational movements

H01L 2224/82181 ・・・・・・・connecting first on the semiconductor or solid-state body, i.e. on-chip,

H01L 2224/82186 ・・・・・・・connecting first outside the semiconductor or solid-state body, i.e. off-chip

H01L 2224/82191 ・・・・・・・connecting first both on and outside the semiconductor or solid-state body

H01L 2224/822 ・・・Applying energy for connecting

H01L 2224/82201 ・・・・Compression bonding

H01L 2224/82203 ・・・・・Thermocompression bonding

H01L 2224/82205 ・・・・・Ultrasonic bonding

H01L 2224/82207 ・・・・・・Thermosonic bonding

H01L 2224/8221 ・・・・with energy being in the form of electromagnetic radiation

H01L 2224/82212 ・・・・・Induction heating, i.e. eddy currents

H01L 2224/82214 ・・・・・using a laser

H01L 2224/8223 ・・・・・Polychromatic or infrared lamp heating

H01L 2224/82232 ・・・・using an autocatalytic reaction, e.g. exothermic brazing

H01L 2224/82234 ・・・・using means for applying energy being within the device, e.g. integrated heater

H01L 2224/82236 ・・・・using electro-static corona discharge

H01L 2224/82237 ・・・・using electron beam, electron beam in general B23K 15/00

H01L 2224/82238 ・・・・using electric resistance welding, i.e. ohmic heating

H01L 2224/8234 ・・・Bonding interfaces of the connector

H01L 2224/82345 ・・・・Shape, e.g. interlocking features

H01L 2224/82355 ・・・・having an external coating, e.g. protective bond-through coating

H01L 2224/82359 ・・・・Material

H01L 2224/8236 ・・・Bonding interfaces of the semiconductor or solid state body

H01L 2224/82365 ・・・・Shape, e.g. interlocking features

H01L 2224/82375 ・・・・having an external coating, e.g. protective bond-through coating

H01L 2224/82379 ・・・・Material

H01L 2224/8238 ・・・Bonding interfaces outside the semiconductor or solid-state body

H01L 2224/82385 ・・・・Shape, e.g. interlocking features

H01L 2224/82395 ・・・・having an external coating, e.g. protective bond-through coating

H01L 2224/82399 ・・・・Material

H01L 2224/828 ・・・Bonding techniques

H01L 2224/82801 ・・・・Soldering or alloying

H01L 2224/82805 ・・・・・involving forming a eutectic alloy at the bonding interface

H01L 2224/8281 ・・・・・involving forming an intermetallic compound at the bonding interface

H01L 2224/82815 ・・・・・Reflow soldering

H01L 2224/8282 ・・・・・Diffusion bonding

H01L 2224/82825 ・・・・・・Solid-liquid interdiffusion

H01L 2224/8283 ・・・・・・Solid-solid interdiffusion

H01L 2224/8284 ・・・・Sintering

H01L 2224/8285 ・・・・using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester

H01L 2224/82855 ・・・・・Hardening the adhesive by curing, i.e. thermosetting

H01L 2224/82856 ・・・・・・Pre-cured adhesive, i.e. B-stage adhesive

H01L 2224/82859 ・・・・・・Localised curing of parts of the connector

H01L 2224/82862 ・・・・・・Heat curing

H01L 2224/82865 ・・・・・・Microwave curing

H01L 2224/82868 ・・・・・・Infrared [IR] curing

H01L 2224/82871 ・・・・・・Visible light curing

H01L 2224/82874 ・・・・・・Ultraviolet [UV] curing

H01L 2224/82877 ・・・・・・Moisture curing, i.e. curing by exposing to humidity, e.g. for silicones and polyurethanes

H01L 2224/8288 ・・・・・Hardening the adhesive by cooling, e.g. for thermoplastics or hot-melt adhesives

H01L 2224/82885 ・・・・・Combinations of two or more hardening methods provided for in at least two different groups from H01L 2224/82855 to H01L 2224/8288, e.g. for hybrid thermoplastic-thermosetting adhesives

H01L 2224/8289 ・・・・using an inorganic non metallic glass type adhesive, e.g. solder glass

H01L 2224/82893 ・・・・Anodic bonding, i.e. bonding by applying a voltage across the interface in order to induce ions migration leading to an irreversible chemical bond

H01L 2224/82895 ・・・・Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces

H01L 2224/82896 ・・・・・between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding

H01L 2224/82897 ・・・・・between electrically insulating surfaces, e.g. oxide or nitride layers

H01L 2224/82899 ・・・・Combinations of bonding methods provided for in at least two different groups from H01L 2224/828 to H01L 2224/82897

H01L 2224/829 ・・・involving monitoring, e.g. feedback loop

H01L 2224/82909 ・・・Post-treatment of the connector or the bonding area

H01L 2224/8291 ・・・・Cleaning, e.g. oxide removal step, desmearing

H01L 2224/8293 ・・・・Reshaping

H01L 2224/82931 ・・・・・by chemical means, e.g. etching, anodisation

H01L 2224/82935 ・・・・・by heating means

H01L 2224/82939 ・・・・・・using a laser

H01L 2224/82945 ・・・・・・using a corona discharge, e.g. electronic flame off [EFO]

H01L 2224/82947 ・・・・・by mechanical means, e.g. severing, pressing, stamping

H01L 2224/82948 ・・・・Thermal treatments, e.g. annealing, controlled pre-heating or pre-cooling

H01L 2224/82951 ・・・・Forming additional members

H01L 2224/82986 ・・・Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence

H01L 2224/83 ・・using a layer connector

H01L 2224/83001 ・・・involving a temporary auxiliary member not forming part of the bonding apparatus

H01L 2224/83002 ・・・・being a removable or sacrificial coating

H01L 2224/83005 ・・・・being a temporary or sacrificial substrate

H01L 2224/83007 ・・・involving a permanent auxiliary member being left in the finished device e.g. aids for holding or protecting the layer connector during or after the bonding process

H01L 2224/83009 ・・・Pre-treatment of the layer connector or the bonding area

H01L 2224/8301 ・・・・Cleaning the layer connector, e.g. oxide removal step, desmearing

H01L 2224/83011 ・・・・・Chemical cleaning, e.g. etching, flux

H01L 2224/83012 ・・・・・Mechanical cleaning, e.g. abrasion using hydro blasting, brushes, ultrasonic cleaning, dry ice blasting, gas-flow

H01L 2224/83013 ・・・・・Plasma cleaning

H01L 2224/83014 ・・・・・Thermal cleaning, e.g. decomposition, sublimation

H01L 2224/83019 ・・・・・Combinations of two or more cleaning methods provided for in at least two different groups from H01L 2224/8301 to H01L 2224/83014

H01L 2224/8302 ・・・・Applying permanent coating to the layer connector in the bonding apparatus, e.g. in-situ coating

H01L 2224/83022 ・・・・Cleaning the bonding area, e.g. oxide removal step, desmearing

H01L 2224/83024 ・・・・Applying flux to the bonding area

H01L 2224/83026 ・・・・Applying a precursor material to the bonding area

H01L 2224/8303 ・・・・Reshaping the layer connector in the bonding apparatus, e.g. flattening the layer connector

H01L 2224/83031 ・・・・・by chemical means, e.g. etching, anodisation

H01L 2224/83035 ・・・・・by heating means

H01L 2224/83037 ・・・・・・using a polychromatic heating lamp

H01L 2224/83039 ・・・・・・using a laser

H01L 2224/83041 ・・・・・・Induction heating, i.e. eddy currents

H01L 2224/83047 ・・・・・by mechanical means, e.g. severing, pressing, stamping

H01L 2224/83048 ・・・・Thermal treatments, e.g. annealing, controlled pre-heating or pre-cooling

H01L 2224/83051 ・・・・Forming additional members, e.g. dam structures

H01L 2224/83052 ・・・Detaching layer connectors, e.g. after testing (unsoldering in general B23K 1/018)

H01L 2224/83053 ・・・Bonding environment

H01L 2224/83054 ・・・・Composition of the atmosphere

H01L 2224/83055 ・・・・・being oxidating

H01L 2224/83065 ・・・・・being reducing

H01L 2224/83075 ・・・・・being inert

H01L 2224/83085 ・・・・being a liquid, e.g. for fluidic self-assembly

H01L 2224/8309 ・・・・Vacuum

H01L 2224/83091 ・・・・Under pressure

H01L 2224/83092 ・・・・・Atmospheric pressure

H01L 2224/83093 ・・・・・Transient conditions, e.g. gas-flow

H01L 2224/83095 ・・・・Temperature settings

H01L 2224/83096 ・・・・・Transient conditions

H01L 2224/83097 ・・・・・・Heating

H01L 2224/83098 ・・・・・・Cooling

H01L 2224/83099 ・・・・・Ambient temperature

H01L 2224/831 ・・・the layer connector being supplied to the parts to be connected in the bonding apparatus

H01L 2224/83101 ・・・・as prepeg comprising a layer connector, e.g. provided in an insulating plate member

H01L 2224/83102 ・・・・using surface energy, e.g. capillary forces

H01L 2224/83104 ・・・・by applying pressure, e.g. by injection

H01L 2224/8311 ・・・involving protection against electrical discharge, e.g. removing electrostatic charge

H01L 2224/8312 ・・・Aligning

H01L 2224/83121 ・・・・Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors

H01L 2224/83122 ・・・・・by detecting inherent features of, or outside, the semiconductor or solid-state body

H01L 2224/83123 ・・・・・・Shape or position of the body

H01L 2224/83125 ・・・・・・Bonding areas on the body

H01L 2224/83127 ・・・・・・Bonding areas outside the body

H01L 2224/83129 ・・・・・・Shape or position of the other item

H01L 2224/8313 ・・・・・using marks formed on the semiconductor or solid-state body

H01L 2224/83132 ・・・・・using marks formed outside the semiconductor or solid-state body, i.e. ?off-chip?

H01L 2224/83136 ・・・・involving guiding structures, e.g. spacers or supporting members

H01L 2224/83138 ・・・・・the guiding structures being at least partially left in the finished device

H01L 2224/83139 ・・・・・・Guiding structures on the body

H01L 2224/8314 ・・・・・・Guiding structures outside the body

H01L 2224/83141 ・・・・・・Guiding structures both on and outside the body

H01L 2224/83143 ・・・・Passive alignment, i.e. self alignment, e.g. using surface energy, chemical reactions, thermal equilibrium

H01L 2224/83148 ・・・・involving movement of a part of the bonding apparatus

H01L 2224/83149 ・・・・・being the lower part of the bonding apparatus, i.e. holding means for the bodies to be connected, e.g. XY table

H01L 2224/8315 ・・・・・・Rotational movements

H01L 2224/8316 ・・・・・・Translational movements

H01L 2224/83169 ・・・・・being the upper part of the bonding apparatus, i.e. bonding head

H01L 2224/8317 ・・・・・・Rotational movements

H01L 2224/8318 ・・・・・・Translational movements

H01L 2224/8319 ・・・Arrangement of the layer connectors prior to mounting

H01L 2224/83191 ・・・・wherein the layer connectors are disposed only on the semiconductor or solid-state body

H01L 2224/83192 ・・・・wherein the layer connectors are disposed only on another item or body to be connected to the semiconductor or solid-state body

H01L 2224/83193 ・・・・wherein the layer connectors are disposed on both the semiconductor or solid-state body and another item or body to be connected to the semiconductor or solid-state body

H01L 2224/83194 ・・・・Lateral distribution of the layer connectors

H01L 2224/832 ・・・Applying energy for connecting

H01L 2224/83201 ・・・・Compression bonding

H01L 2224/83203 ・・・・・Thermocompression bonding, e.g. diffusion bonding, pressure joining, thermocompression welding or solid-state welding

H01L 2224/83204 ・・・・・・with a graded temperature profile

H01L 2224/83205 ・・・・・Ultrasonic bonding

H01L 2224/83206 ・・・・・・Direction of oscillation

H01L 2224/83207 ・・・・・・Thermosonic bonding

H01L 2224/83208 ・・・・・applying unidirectional static pressure

H01L 2224/83209 ・・・・・applying isostatic pressure, e.g. degassing using vacuum or a pressurised liquid

H01L 2224/8321 ・・・・using a reflow oven

H01L 2224/83211 ・・・・・with a graded temperature profile

H01L 2224/8322 ・・・・with energy being in the form of electromagnetic radiation

H01L 2224/83222 ・・・・・Induction heating, i.e. eddy currents

H01L 2224/83224 ・・・・・using a laser

H01L 2224/8323 ・・・・・Polychromatic or infrared lamp heating

H01L 2224/83232 ・・・・using an autocatalytic reaction, e.g. exothermic brazing

H01L 2224/83234 ・・・・using means for applying energy being within the device, e.g. integrated heater

H01L 2224/83236 ・・・・using electro-static corona discharge

H01L 2224/83237 ・・・・using an electron beam (electron beam welding in general B23K15)

H01L 2224/83238 ・・・・using electric resistance welding, i.e. ohmic heating

H01L 2224/8334 ・・・Bonding interfaces of the layer connector

H01L 2224/83345 ・・・・Shape, e.g. interlocking features

H01L 2224/83355 ・・・・having an external coating, e.g. protective bond-through coating

H01L 2224/83359 ・・・・Material

H01L 2224/8336 ・・・Bonding interfaces of the semiconductor or solid state body

H01L 2224/83365 ・・・・Shape, e.g. interlocking features

H01L 2224/83375 ・・・・having an external coating, e.g. protective bond-through coating

H01L 2224/83379 ・・・・Material (material of the layer connector prior to the connecting process H01L 2224/29099 and H01L 2224/29599, and subgroups)

H01L 2224/8338 ・・・Bonding interfaces outside the semiconductor or solid-state body

H01L 2224/83385 ・・・・Shape, e.g. interlocking features

H01L 2224/83395 ・・・・having an external coating, e.g. protective bond-through coating

H01L 2224/83399 ・・・・Material

H01L 2224/834 ・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/83401 ・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/83405 ・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/83409 ・・・・・・・Indium [In] as principal constituent

H01L 2224/83411 ・・・・・・・Tin [Sn] as principal constituent

H01L 2224/83413 ・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/83414 ・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/83416 ・・・・・・・Lead [Pb] as principal constituent

H01L 2224/83417 ・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/83418 ・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/8342 ・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/83423 ・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/83424 ・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/83438 ・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/83439 ・・・・・・・Silver [Ag] as principal constituent

H01L 2224/83444 ・・・・・・・Gold [Au] as principal constituent

H01L 2224/83447 ・・・・・・・Copper [Cu] as principal constituent

H01L 2224/83449 ・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/83455 ・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/83457 ・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/8346 ・・・・・・・Iron [Fe] as principal constituent

H01L 2224/83463 ・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/83464 ・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/83466 ・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/83469 ・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/8347 ・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/83471 ・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/83472 ・・・・・・・Vanadium [V] as principal constituent

H01L 2224/83473 ・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/83476 ・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/83478 ・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/83479 ・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/8348 ・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/83481 ・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/83483 ・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/83484 ・・・・・・・Tungsten [W] as principal constituent

H01L 2224/83486 ・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/83487 ・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/83488)

H01L 2224/83488 ・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/8349 ・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/83491 ・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/83493 ・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/834 to H01L 2224/83491, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/83494 ・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/834 to H01L 2224/83491

H01L 2224/83495 ・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/834 to H01L 2224/83491

H01L 2224/83498 ・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/83499 ・・・・・・Material of the matrix

H01L 2224/835 ・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/83501 ・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/83505 ・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/83509 ・・・・・・・・・Indium [In] as principal constituent

H01L 2224/83511 ・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/83513 ・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/83514 ・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/83516 ・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/83517 ・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/83518 ・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/8352 ・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/83523 ・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/83524 ・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/83538 ・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/83539 ・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/83544 ・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/83547 ・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/83549 ・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/83555 ・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/83557 ・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/8356 ・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/83563 ・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/83564 ・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/83566 ・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/83569 ・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/8357 ・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/83571 ・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/83572 ・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/83573 ・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/83576 ・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/83578 ・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/83579 ・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/8358 ・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/83581 ・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/83583 ・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/83584 ・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/83586 ・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/83587 ・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/83588)

H01L 2224/83588 ・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/8359 ・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/83591 ・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/83593 ・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/835 to H01L 2224/83591, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/83594 ・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/835 to H01L 2224/83591

H01L 2224/83595 ・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/835 to H01L 2224/83591

H01L 2224/83598 ・・・・・・Fillers

H01L 2224/83599 ・・・・・・・Base material

H01L 2224/836 ・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/83601 ・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/83605 ・・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/83609 ・・・・・・・・・・Indium [In] as principal constituent

H01L 2224/83611 ・・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/83613 ・・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/83614 ・・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/83616 ・・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/83617 ・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/83618 ・・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/8362 ・・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/83623 ・・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/83624 ・・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/83638 ・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/83639 ・・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/83644 ・・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/83647 ・・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/83649 ・・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/83655 ・・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/83657 ・・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/8366 ・・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/83663 ・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/83664 ・・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/83666 ・・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/83669 ・・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/8367 ・・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/83671 ・・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/83672 ・・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/83673 ・・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/83676 ・・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/83678 ・・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/83679 ・・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/8368 ・・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/83681 ・・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/83683 ・・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/83684 ・・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/83686 ・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/83687 ・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/83688)

H01L 2224/83688 ・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/8369 ・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/83691 ・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/83693 ・・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/836 to H01L 2224/83691, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/83694 ・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/836 to H01L 2224/83691

H01L 2224/83695 ・・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/836 to H01L 2224/83691

H01L 2224/83698 ・・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/83699 ・・・・・・・Coating material

H01L 2224/837 ・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/83701 ・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/83705 ・・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/83709 ・・・・・・・・・・Indium [In] as principal constituent

H01L 2224/83711 ・・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/83713 ・・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/83714 ・・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/83716 ・・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/83717 ・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/83718 ・・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/8372 ・・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/83723 ・・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/83724 ・・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/83738 ・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/83739 ・・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/83744 ・・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/83747 ・・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/83749 ・・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/83755 ・・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/83757 ・・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/8376 ・・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/83763 ・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/83764 ・・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/83766 ・・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/83769 ・・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/8377 ・・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/83771 ・・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/83772 ・・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/83773 ・・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/83776 ・・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/83778 ・・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/83779 ・・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/8378 ・・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/83781 ・・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/83783 ・・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/83784 ・・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/83786 ・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/83787 ・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/83788)

H01L 2224/83788 ・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/8379 ・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/83791 ・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/83793 ・・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/837 to H01L 2224/83791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/83794 ・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/837 to H01L 2224/83791

H01L 2224/83795 ・・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/837 to H01L 2224/83791

H01L 2224/83798 ・・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/83799 ・・・・・・・Shape or distribution of the fillers

H01L 2224/838 ・・・Bonding techniques

H01L 2224/83801 ・・・・Soldering or alloying

H01L 2224/83805 ・・・・・involving forming a eutectic alloy at the bonding interface

H01L 2224/8381 ・・・・・involving forming an intermetallic compound at the bonding interface

H01L 2224/83815 ・・・・・Reflow soldering

H01L 2224/8382 ・・・・・Diffusion bonding

H01L 2224/83825 ・・・・・・Solid-liquid interdiffusion

H01L 2224/8383 ・・・・・・Solid-solid interdiffusion

H01L 2224/8384 ・・・・Sintering

H01L 2224/8385 ・・・・using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester

H01L 2224/83851 ・・・・・being an anisotropic conductive adhesive

H01L 2224/83855 ・・・・・Hardening the adhesive by curing, i.e. thermosetting

H01L 2224/83856 ・・・・・・Pre-cured adhesive, i.e. B-stage adhesive

H01L 2224/83859 ・・・・・・Localised curing of parts of the layer connector

H01L 2224/83862 ・・・・・・Heat curing

H01L 2224/83865 ・・・・・・Microwave curing

H01L 2224/83868 ・・・・・・Infrared [IR] curing

H01L 2224/83871 ・・・・・・Visible light curing

H01L 2224/83874 ・・・・・・Ultraviolet [UV] curing

H01L 2224/83877 ・・・・・・Moisture curing, i.e. curing by exposing to humidity, e.g. for silicones and polyurethanes

H01L 2224/8388 ・・・・・Hardening the adhesive by cooling, e.g. for thermoplastics or hot-melt adhesives

H01L 2224/83885 ・・・・・Combinations of two or more hardening methods provided for in at least two different groups from H01L 2224/83855 to H01L 2224/8388, e.g. for hybrid thermoplastic-thermosetting adhesives

H01L 2224/83886 ・・・・Involving a self-assembly process, e.g. self-agglomeration of a material dispersed in a fluid

H01L 2224/83887 ・・・・・Auxiliary means therefor, e.g. for self-assembly activation

H01L 2224/83888 ・・・・・with special adaptation of the surface of the body to be connected, e.g. surface shape specially adapted for the self-assembly process

H01L 2224/83889 ・・・・・involving the material of the bonding area, e.g. bonding pad

H01L 2224/8389 ・・・・using an inorganic non metallic glass type adhesive, e.g. solder glass

H01L 2224/83893 ・・・・Anodic bonding, i.e. bonding by applying a voltage across the interface in order to induce ions migration leading to an irreversible chemical bond

H01L 2224/83894 ・・・・Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces

H01L 2224/83895 ・・・・・between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding

H01L 2224/83896 ・・・・・between electrically insulating surfaces, e.g. oxide or nitride layers

H01L 2224/83897 ・・・・Mechanical interlocking, e.g. anchoring, hook and loop-type fastening or the like

H01L 2224/83898 ・・・・・Press-fitting, i.e. pushing the parts together and fastening by friction, e.g. by compression of one part against the other

H01L 2224/83899 ・・・・・・using resilient parts in the layer connector or in the bonding area

H01L 2224/839 ・・・with the layer connector not providing any mechanical bonding

H01L 2224/83901 ・・・・Pressing the layer connector against the bonding areas by means of another connector (detachable pressure contact H01L 224/72)

H01L 2224/83902 ・・・・・by means of another layer connector

H01L 2224/83903 ・・・・・by means of a bump connector

H01L 2224/83904 ・・・・・by means of an encapsulation layer or foil

H01L 2224/83905 ・・・Combinations of bonding methods provided for in at least two different groups from H01L 2224/838 to H01L 2224/83904

H01L 2224/83906 ・・・・Specific sequence of method steps

H01L 2224/83907 ・・・・Intermediate bonding, i.e. intermediate bonding step for temporarily bonding the semiconductor or solid-state body, followed by at least a further bonding step

H01L 2224/83908 ・・・involving monitoring, e.g. feedback loop

H01L 2224/83909 ・・・Post-treatment of the layer connector or bonding area

H01L 2224/8391 ・・・・Cleaning, e.g. oxide removal step, desmearing

H01L 2224/83911 ・・・・・Chemical cleaning, e.g. etching, flux

H01L 2224/83912 ・・・・・Mechanical cleaning, e.g. abrasion using hydro blasting, brushes, ultrasonic cleaning, dry ice blasting, gas-flow

H01L 2224/83913 ・・・・・Plasma cleaning

H01L 2224/83914 ・・・・・Thermal cleaning, e.g. using laser ablation or by electrostatic corona discharge

H01L 2224/83919 ・・・・・Combinations of two or more cleaning methods provided for in at least two different groups from H01L 2224/8391 to H01L 2224/83914

H01L 2224/8392 ・・・・Applying permanent coating, e.g. protective coating

H01L 2224/8393 ・・・・Reshaping

H01L 2224/83931 ・・・・・by chemical means, e.g. etching

H01L 2224/83935 ・・・・・by heating means, e.g. reflowing

H01L 2224/83937 ・・・・・・using a polychromatic heating lamp

H01L 2224/83939 ・・・・・・using a laser

H01L 2224/83941 ・・・・・・Induction heating, i.e. eddy currents

H01L 2224/83943 ・・・・・・using a flame torch, e.g. hydrogen torch

H01L 2224/83945 ・・・・・・using a corona discharge, e.g. electronic flame off [EFO]

H01L 2224/83947 ・・・・・by mechanical means, e.g. "pull-and-cut", pressing, stamping

H01L 2224/83948 ・・・・Thermal treatments, e.g. annealing, controlled cooling

H01L 2224/83951 ・・・・Forming additional members, e.g. for reinforcing, fillet sealant

H01L 2224/83986 ・・・Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence

H01L 2224/84 ・・using a strap connector

H01L 2224/84001 ・・・involving a temporary auxiliary member not forming part of the bonding apparatus

H01L 2224/84002 ・・・・being a removable or sacrificial coating

H01L 2224/84005 ・・・・being a temporary substrate

H01L 2224/84007 ・・・involving a permanent auxiliary member being left in the finished device e.g. aids for holding or protecting the strap connector during or after the bonding process

H01L 2224/84009 ・・・Pre-treatment of the connector and/or the bonding area

H01L 2224/8401 ・・・・Cleaning, e.g. oxide removal step, desmearing

H01L 2224/84011 ・・・・・Chemical cleaning, e.g. etching, flux

H01L 2224/84012 ・・・・・Mechanical cleaning, e.g. abrasion using hydro blasting, brushes, ultrasonic cleaning, dry ice blasting, gas-flow

H01L 2224/84013 ・・・・・Plasma cleaning

H01L 2224/84014 ・・・・・Thermal cleaning, e.g. decomposition, sublimation

H01L 2224/84019 ・・・・・Combinations of two or more cleaning methods provided for in at least two different groups from H01L 2224/8401 to H01L 2224/84014

H01L 2224/8402 ・・・・Applying permanent coating, e.g. in-situ coating

H01L 2224/8403 ・・・・Reshaping

H01L 2224/84031 ・・・・・by chemical means, e.g. etching, anodisation

H01L 2224/84035 ・・・・・by heating means, e.g. "free-air-ball"

H01L 2224/84037 ・・・・・・using a polychromatic heating lamp

H01L 2224/84039 ・・・・・・using a laser

H01L 2224/84041 ・・・・・・Induction heating, i.e. eddy currents

H01L 2224/84043 ・・・・・・using a flame torch, e.g. hydrogen torch

H01L 2224/84045 ・・・・・・using a corona discharge, e.g. electronic flame off [EFO]

H01L 2224/84047 ・・・・・by mechanical means, e.g. severing, pressing, stamping

H01L 2224/84048 ・・・・Thermal treatments, e.g. annealing, controlled pre-heating or pre-cooling

H01L 2224/84051 ・・・・Forming additional members

H01L 2224/84053 ・・・Bonding environment

H01L 2224/84054 ・・・・Composition of the atmosphere

H01L 2224/84055 ・・・・・being oxidating

H01L 2224/84065 ・・・・・being reducing

H01L 2224/84075 ・・・・・being inert

H01L 2224/84085 ・・・・being a liquid (e.g. for fluidic self-assembly)

H01L 2224/8409 ・・・・Vacuum

H01L 2224/84091 ・・・・Under pressure

H01L 2224/84092 ・・・・・Atmospheric pressure

H01L 2224/84093 ・・・・・Transient conditions, e.g. gas-flow

H01L 2224/84095 ・・・・Temperature settings

H01L 2224/84096 ・・・・・Transient conditions

H01L 2224/84097 ・・・・・・Heating

H01L 2224/84098 ・・・・・・Cooling

H01L 2224/84099 ・・・・・Ambient temperature

H01L 2224/841 ・・・the connector being supplied to the parts to be connected in the bonding apparatus

H01L 2224/8411 ・・・involving protection against electrical discharge, e.g. removing electrostatic charge

H01L 2224/8412 ・・・Aligning

H01L 2224/84121 ・・・・Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors

H01L 2224/84122 ・・・・・by detecting inherent features of, or outside, the semiconductor or solid-state body

H01L 2224/84123 ・・・・・・Shape or position of the body

H01L 2224/84125 ・・・・・・Bonding areas on the body

H01L 2224/84127 ・・・・・・Bonding areas outside the body

H01L 2224/84129 ・・・・・・Shape or position of the other item

H01L 2224/8413 ・・・・・using marks formed on the semiconductor or solid-state body

H01L 2224/84132 ・・・・・using marks formed outside the semiconductor or solid-state body, i.e. ?off-chip?

H01L 2224/84136 ・・・・involving guiding structures, e.g. spacers or supporting members

H01L 2224/84138 ・・・・・the guiding structures being at least partially left in the finished device

H01L 2224/84143 ・・・・Passive alignment, i.e. self alignment, e.g. using surface energy, chemical reactions, thermal equilibrium

H01L 2224/84148 ・・・・involving movement of a part of the bonding apparatus

H01L 2224/84149 ・・・・・being the lower part of the bonding apparatus, i.e. holding means for the bodies to be connected, e.g. XY table

H01L 2224/8415 ・・・・・・Rotational movements

H01L 2224/8416 ・・・・・・Translational movements

H01L 2224/84169 ・・・・・being the upper part of the bonding apparatus, i.e. bonding head,

H01L 2224/8417 ・・・・・・Rotational movements

H01L 2224/8418 ・・・・・・Translational movements

H01L 2224/84181 ・・・・・・・connecting first on the semiconductor or solid-state body, i.e. on-chip, regular stitch

H01L 2224/84186 ・・・・・・・connecting first outside the semiconductor or solid-state body, i.e. off-chip, reverse stitch

H01L 2224/84191 ・・・・・・・connecting first both on and outside the semiconductor or solid-state body, i.e. regular and reverse stitches

H01L 2224/84196 ・・・・・・・involving intermediate connecting steps before cutting the strap connector

H01L 2224/842 ・・・Applying energy for connecting

H01L 2224/84201 ・・・・Compression bonding

H01L 2224/84203 ・・・・・Thermocompression bonding

H01L 2224/84205 ・・・・・Ultrasonic bonding

H01L 2224/84206 ・・・・・・Direction of oscillation

H01L 2224/84207 ・・・・・・Thermosonic bonding

H01L 2224/8421 ・・・・with energy being in the form of electromagnetic radiation

H01L 2224/84212 ・・・・・Induction heating, i.e. eddy currents

H01L 2224/84214 ・・・・・using a laser

H01L 2224/8423 ・・・・・Polychromatic or infrared lamp heating

H01L 2224/84232 ・・・・using an autocatalytic reaction, e.g. exothermic brazing

H01L 2224/84234 ・・・・using means for applying energy being within the device, e.g. integrated heater

H01L 2224/84236 ・・・・using electro-static corona discharge

H01L 2224/84237 ・・・・using an electron beam (electron beam welding in general B23K15)

H01L 2224/84238 ・・・・using electric resistance welding, i.e. ohmic heating

H01L 2224/8434 ・・・Bonding interfaces of the connector

H01L 2224/84345 ・・・・Shape, e.g. interlocking features

H01L 2224/84355 ・・・・having an external coating, e.g. protective bond-through coating

H01L 2224/84359 ・・・・Material

H01L 2224/8436 ・・・Bonding interfaces of the semiconductor or solid state body

H01L 2224/84365 ・・・・Shape, e.g. interlocking features

H01L 2224/84375 ・・・・having an external coating, e.g. protective bond-through coating

H01L 2224/84379 ・・・・Material

H01L 2224/8438 ・・・Bonding interfaces outside the semiconductor or solid-state body

H01L 2224/84385 ・・・・Shape, e.g. interlocking features

H01L 2224/84395 ・・・・having an external coating, e.g. protective bond-through coating

H01L 2224/84399 ・・・・Material

H01L 2224/844 ・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/84401 ・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/84405 ・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/84409 ・・・・・・・Indium [In] as principal constituent

H01L 2224/84411 ・・・・・・・Tin [Sn] as principal constituent

H01L 2224/84413 ・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/84414 ・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/84416 ・・・・・・・Lead [Pb] as principal constituent

H01L 2224/84417 ・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/84418 ・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/8442 ・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/84423 ・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/84424 ・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/84438 ・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/84439 ・・・・・・・Silver [Ag] as principal constituent

H01L 2224/84444 ・・・・・・・Gold [Au] as principal constituent

H01L 2224/84447 ・・・・・・・Copper [Cu] as principal constituent

H01L 2224/84449 ・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/84455 ・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/84457 ・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/8446 ・・・・・・・Iron [Fe] as principal constituent

H01L 2224/84463 ・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/84464 ・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/84466 ・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/84469 ・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/8447 ・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/84471 ・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/84472 ・・・・・・・Vanadium [V] as principal constituent

H01L 2224/84473 ・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/84476 ・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/84478 ・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/84479 ・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/8448 ・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/84481 ・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/84483 ・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/84484 ・・・・・・・Tungsten [W] as principal constituent

H01L 2224/84486 ・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/84487 ・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/84488)

H01L 2224/84488 ・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/8449 ・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/84491 ・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/84493 ・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/844 to H01L 2224/84491, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/84494 ・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/844 to H01L 2224/84491

H01L 2224/84495 ・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/844 to H01L 2224/84491

H01L 2224/84498 ・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/84499 ・・・・・・Material of the matrix

H01L 2224/845 ・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/84501 ・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/84505 ・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/84509 ・・・・・・・・・Indium [In] as principal constituent

H01L 2224/84511 ・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/84513 ・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/84514 ・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/84516 ・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/84517 ・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/84518 ・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/8452 ・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/84523 ・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/84524 ・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/84538 ・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/84539 ・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/84544 ・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/84547 ・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/84549 ・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/84555 ・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/84557 ・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/8456 ・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/84563 ・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/84564 ・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/84566 ・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/84569 ・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/8457 ・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/84571 ・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/84572 ・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/84573 ・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/84576 ・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/84578 ・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/84579 ・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/8458 ・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/84581 ・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/84583 ・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/84584 ・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/84586 ・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/84587 ・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides [glass ceramics H01L 2224/84588]

H01L 2224/84588 ・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/8459 ・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/84591 ・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/84593 ・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/845 to H01L 2224/84591, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/84594 ・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/845 to H01L 2224/84591

H01L 2224/84595 ・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/845 to H01L 2224/84591

H01L 2224/84598 ・・・・・・Fillers

H01L 2224/84599 ・・・・・・・Base material

H01L 2224/846 ・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/84601 ・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/84605 ・・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/84609 ・・・・・・・・・・Indium [In] as principal constituent

H01L 2224/84611 ・・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/84613 ・・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/84614 ・・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/84616 ・・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/84617 ・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/84618 ・・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/8462 ・・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/84623 ・・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/84624 ・・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/84638 ・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/84639 ・・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/84644 ・・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/84647 ・・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/84649 ・・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/84655 ・・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/84657 ・・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/8466 ・・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/84663 ・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/84664 ・・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/84666 ・・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/84669 ・・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/8467 ・・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/84671 ・・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/84672 ・・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/84673 ・・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/84676 ・・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/84678 ・・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/84679 ・・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/8468 ・・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/84681 ・・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/84683 ・・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/84684 ・・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/84686 ・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/84687 ・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/84688)

H01L 2224/84688 ・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/8469 ・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/84691 ・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/84693 ・・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/846 to H01L 2224/84691, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/84694 ・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/846 to H01L 2224/84691

H01L 2224/84695 ・・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/846 to H01L 2224/84691

H01L 2224/84698 ・・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/84699 ・・・・・・・Coating material

H01L 2224/847 ・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2224/84701 ・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/84705 ・・・・・・・・・・Gallium [Ga] as principal constituent

H01L 2224/84709 ・・・・・・・・・・Indium [In] as principal constituent

H01L 2224/84711 ・・・・・・・・・・Tin [Sn] as principal constituent

H01L 2224/84713 ・・・・・・・・・・Bismuth [Bi] as principal constituent

H01L 2224/84714 ・・・・・・・・・・Thallium [Tl] as principal constituent

H01L 2224/84716 ・・・・・・・・・・Lead [Pb] as principal constituent

H01L 2224/84717 ・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/84718 ・・・・・・・・・・Zinc [Zn] as principal constituent

H01L 2224/8472 ・・・・・・・・・・Antimony [Sb] as principal constituent

H01L 2224/84723 ・・・・・・・・・・Magnesium [Mg] as principal constituent

H01L 2224/84724 ・・・・・・・・・・Aluminium [Al] as principal constituent

H01L 2224/84738 ・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/84739 ・・・・・・・・・・Silver [Ag] as principal constituent

H01L 2224/84744 ・・・・・・・・・・Gold [Au] as principal constituent

H01L 2224/84747 ・・・・・・・・・・Copper [Cu] as principal constituent

H01L 2224/84749 ・・・・・・・・・・Manganese [Mn] as principal constituent

H01L 2224/84755 ・・・・・・・・・・Nickel [Ni] as principal constituent

H01L 2224/84757 ・・・・・・・・・・Cobalt [Co] as principal constituent

H01L 2224/8476 ・・・・・・・・・・Iron [Fe] as principal constituent

H01L 2224/84763 ・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/84764 ・・・・・・・・・・Palladium [Pd] as principal constituent

H01L 2224/84766 ・・・・・・・・・・Titanium [Ti] as principal constituent

H01L 2224/84769 ・・・・・・・・・・Platinum [Pt] as principal constituent

H01L 2224/8477 ・・・・・・・・・・Zirconium [Zr] as principal constituent

H01L 2224/84771 ・・・・・・・・・・Chromium [Cr] as principal constituent

H01L 2224/84772 ・・・・・・・・・・Vanadium [V] as principal constituent

H01L 2224/84773 ・・・・・・・・・・Rhodium [Rh] as principal constituent

H01L 2224/84776 ・・・・・・・・・・Ruthenium [Ru] as principal constituent

H01L 2224/84778 ・・・・・・・・・・Iridium [Ir] as principal constituent

H01L 2224/84779 ・・・・・・・・・・Niobium [Nb] as principal constituent

H01L 2224/8478 ・・・・・・・・・・Molybdenum [Mo] as principal constituent

H01L 2224/84781 ・・・・・・・・・・Tantalum [Ta] as principal constituent

H01L 2224/84783 ・・・・・・・・・・Rhenium [Re] as principal constituent

H01L 2224/84784 ・・・・・・・・・・Tungsten [W] as principal constituent

H01L 2224/84786 ・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/84787 ・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/84788)

H01L 2224/84788 ・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/8479 ・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/84791 ・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/84793 ・・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/847 to H01L 2224/84791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/84794 ・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/847 to H01L 2224/84791

H01L 2224/84795 ・・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/847 to H01L 2224/84791

H01L 2224/84798 ・・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/84799 ・・・・・・・Shape or distribution of the fillers

H01L 2224/848 ・・・Bonding techniques

H01L 2224/84801 ・・・・Soldering or alloying

H01L 2224/84805 ・・・・・involving forming a eutectic alloy at the bonding interface

H01L 2224/8481 ・・・・・involving forming an intermetallic compound at the bonding interface

H01L 2224/84815 ・・・・・Reflow soldering

H01L 2224/8482 ・・・・・Diffusion bonding

H01L 2224/84825 ・・・・・・Solid-liquid interdiffusion

H01L 2224/8483 ・・・・・・Solid-solid interdiffusion

H01L 2224/8484 ・・・・Sintering

H01L 2224/8485 ・・・・using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester

H01L 2224/84855 ・・・・・Hardening the adhesive by curing, i.e. thermosetting

H01L 2224/84856 ・・・・・・Pre-cured adhesive, i.e. B-stage adhesive

H01L 2224/84859 ・・・・・・Localised curing of parts of the connector

H01L 2224/84862 ・・・・・・Heat curing

H01L 2224/84865 ・・・・・・Microwave curing

H01L 2224/84868 ・・・・・・Infrared [IR] curing

H01L 2224/84871 ・・・・・・Visible light curing

H01L 2224/84874 ・・・・・・Ultraviolet [UV] curing

H01L 2224/84877 ・・・・・・Moisture curing, i.e. curing by exposing to humidity, e.g. for silicones and polyurethanes

H01L 2224/8488 ・・・・・Hardening the adhesive by cooling, e.g. for thermoplastics or hot-melt adhesives

H01L 2224/84885 ・・・・・Combinations of two or more hardening methods provided for in at least two different groups from H01L 2224/84855 to H01L 2224/8488, e.g. for hybrid thermoplastic-thermosetting adhesives

H01L 2224/8489 ・・・・using an inorganic non metallic glass type adhesive, e.g. solder glass

H01L 2224/84893 ・・・・Anodic bonding, i.e. bonding by applying a voltage across the interface in order to induce ions migration leading to an irreversible chemical bond

H01L 2224/84895 ・・・・Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces

H01L 2224/84897 ・・・・・between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding

H01L 2224/84898 ・・・・・between electrically insulating surfaces, e.g. oxide or nitride layersg

H01L 2224/84899 ・・・・Combinations of bonding methods provided for in at least two different groups from H01L 2224/848 to T01L 224/84896

H01L 2224/849 ・・・involving monitoring, e.g. feedback loop

H01L 2224/84909 ・・・Post-treatment of the connector or bonding area

H01L 2224/8491 ・・・・Cleaning, e.g. oxide removal step, desmearing

H01L 2224/84911 ・・・・・Chemical cleaning, e.g. etching, flux

H01L 2224/84912 ・・・・・Mechanical cleaning, e.g. abrasion using hydro blasting, brushes, ultrasonic cleaning, dry ice blasting, gas-flow

H01L 2224/84913 ・・・・・Plasma cleaning

H01L 2224/84914 ・・・・・Thermal cleaning, e.g. using laser ablation or by electrostatic corona discharge

H01L 2224/84919 ・・・・・Combinations of two or more cleaning methods provided for in at least two different groups from H01L 2224/8491 to H01L 2224/84914

H01L 2224/8492 ・・・・Applying permanent coating, e.g. protective coating

H01L 2224/8493 ・・・・Reshaping e.g. for severing the strap, modifying the loop shape

H01L 2224/84931 ・・・・・by chemical means, e.g. etching

H01L 2224/84935 ・・・・・by heating means, e.g. reflowing

H01L 2224/84937 ・・・・・・using a polychromatic heating lamp

H01L 2224/84939 ・・・・・・using a laser

H01L 2224/84941 ・・・・・・Induction heating, i.e. eddy currents

H01L 2224/84943 ・・・・・・using a flame torch, e.g. hydrogen torch

H01L 2224/84945 ・・・・・・using a corona discharge, e.g. electronic flame off [EFO]

H01L 2224/84947 ・・・・・by mechanical means, e.g. pressing, stamping

H01L 2224/84948 ・・・・Thermal treatments, e.g. annealing, controlled cooling

H01L 2224/84951 ・・・・Forming additional members, e.g. for reinforcing

H01L 2224/84986 ・・・Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence

H01L 2224/85 ・・using a wire connector

H01L 2224/85001 ・・・involving a temporary auxiliary member not forming part of the bonding apparatus, e.g. removable or sacrificial coating, film or substrate

H01L 2224/85002 ・・・・being a removable or sacrificial coating

H01L 2224/85005 ・・・・being a temporary or sacrificial substrate

H01L 2224/85007 ・・・involving a permanent auxiliary member being left in the finished device e.g. aids for holding or protecting the wire connector during or after the bonding process

H01L 2224/85009 ・・・Pre-treatment of the connector or the bonding area

H01L 2224/8501 ・・・・Cleaning, e.g. oxide removal step, desmearing

H01L 2224/85011 ・・・・・Chemical cleaning, e.g. etching, flux

H01L 2224/85012 ・・・・・Mechanical cleaning, e.g. abrasion using hydro blasting, brushes, ultrasonic cleaning, dry ice blasting, gas-flow

H01L 2224/85013 ・・・・・Plasma cleaning

H01L 2224/85014 ・・・・・Thermal cleaning, e.g. decomposition, sublimation

H01L 2224/85016 ・・・・・・using a laser

H01L 2224/85017 ・・・・・Electron beam cleaning

H01L 2224/85019 ・・・・・Combinations of two or more cleaning methods provided for in at least two different groups from H01L 2224/8501 to H01L 2224/85014

H01L 2224/8502 ・・・・Applying permanent coating, e.g. in-situ coating

H01L 2224/8503 ・・・・Reshaping, e.g. forming the ball or the wedge of the wire connector

H01L 2224/85031 ・・・・・by chemical means, e.g. etching, anodisation

H01L 2224/85035 ・・・・・by heating means, e.g. “free-air-ball”

H01L 2224/85037 ・・・・・・using a polychromatic heating lamp

H01L 2224/85039 ・・・・・・using a laser

H01L 2224/85041 ・・・・・・Induction heating, i.e. eddy currents

H01L 2224/85043 ・・・・・・using a flame torch, e.g. hydrogen torch

H01L 2224/85045 ・・・・・・using a corona discharge, e.g. electronic flame off (EFO)

H01L 2224/85047 ・・・・・by mechanical means, e.g. severing, pressing, stamping

H01L 2224/85048 ・・・・Thermal treatments, e.g. annealing, controlled pre-heating or pre-cooling

H01L 2224/85051 ・・・・Forming additional members, e.g. for “wedge-on-ball”, “ball-on-wedge”, “ball-on-ball” connections

H01L 2224/85053 ・・・Bonding environment

H01L 2224/85054 ・・・・Composition of the atmosphere

H01L 2224/85055 ・・・・・being oxidating

H01L 2224/85065 ・・・・・being reducing

H01L 2224/85075 ・・・・・being inert

H01L 2224/85085 ・・・・being a liquid, e.g. for fluidic self-assembly

H01L 2224/8509 ・・・・Vacuum

H01L 2224/85091 ・・・・Under pressure

H01L 2224/85092 ・・・・・Atmospheric pressure

H01L 2224/85093 ・・・・・Transient conditions, e.g. gas-flow

H01L 2224/85095 ・・・・Temperature settings

H01L 2224/85096 ・・・・・Transient conditions

H01L 2224/85097 ・・・・・・Heating

H01L 2224/85098 ・・・・・・Cooling

H01L 2224/85099 ・・・・・Ambient temperature

H01L 2224/851 ・・・the connector being supplied to the parts to be connected in the bonding apparatus

H01L 2224/8511 ・・・involving protection against electrical discharge, e.g. removing electrostatic charge

H01L 2224/8512 ・・・Aligning

H01L 2224/85121 ・・・・Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors

H01L 2224/85122 ・・・・・by detecting inherent features of, or outside, the semiconductor or solid-state body

H01L 2224/85123 ・・・・・・Shape or position of the body

H01L 2224/85125 ・・・・・・Bonding areas on the body

H01L 2224/85127 ・・・・・・Bonding areas outside the body

H01L 2224/85129 ・・・・・・Shape or position of the other item

H01L 2224/8513 ・・・・・using marks formed on the semiconductor or solid-state body

H01L 2224/85132 ・・・・・using marks formed outside the semiconductor or solid-state body, i.e. “off-chip”

H01L 2224/85136 ・・・・involving guiding structures, e.g. spacers or supporting members

H01L 2224/85138 ・・・・・the guiding structures being at least partially left in the finished device

H01L 2224/85143 ・・・・Passive alignment, i.e. self alignment, e.g. using surface energy, chemical reactions, thermal equilibrium

H01L 2224/85148 ・・・・involving movement of a part of the bonding apparatus

H01L 2224/85149 ・・・・・being the lower part of the bonding apparatus, i.e. holding means for the bodies to be connected, e.g. XY table

H01L 2224/8515 ・・・・・・Rotational movements

H01L 2224/8516 ・・・・・・Translational movements

H01L 2224/85169 ・・・・・being the upper part of the bonding apparatus, i.e. bonding head, e.g. capillary or wedge

H01L 2224/8517 ・・・・・・Rotational movements

H01L 2224/8518 ・・・・・・Translational movements

H01L 2224/85181 ・・・・・・・connecting first on the semiconductor or solid-state body, i.e. on-chip, regular stitch

H01L 2224/85186 ・・・・・・・connecting first outside the semiconductor or solid-state body, i.e. off-chip, reverse stitch

H01L 2224/85191 ・・・・・・・connecting first both on and outside the semiconductor or solid-state body, i.e. regular and reverse stitches

H01L 2224/85196 ・・・・・・・involving intermediate connecting steps before cutting the wire connector

H01L 2224/852 ・・・Applying energy for connecting

H01L 2224/85201 ・・・・Compression bonding

H01L 2224/85203 ・・・・・Thermocompression bonding

H01L 2224/85205 ・・・・・Ultrasonic bonding

H01L 2224/85206 ・・・・・・Direction of oscillation

H01L 2224/85207 ・・・・・・Thermosonic bonding

H01L 2224/8521 ・・・・with energy being in the form of electromagnetic radiation

H01L 2224/85212 ・・・・・Induction heating, i.e. eddy currents

H01L 2224/85214 ・・・・・using a laser

H01L 2224/8523 ・・・・・Polychromatic or infrared lamp heating

H01L 2224/85232 ・・・・using an autocatalytic reaction, e.g. exothermic brazing

H01L 2224/85234 ・・・・using means for applying energy being within the device, e.g. integrated heater

H01L 2224/85236 ・・・・using electro-static corona discharge

H01L 2224/85237 ・・・・using electron beam (using electron beam in general B23K 15/00)

H01L 2224/85238 ・・・・using electric resistance welding, i.e. ohmic heating

H01L 2224/8534 ・・・Bonding interfaces of the connector

H01L 2224/85345 ・・・・Shape, e.g. interlocking features

H01L 2224/85355 ・・・・having an external coating, e.g. protective bond-through coating

H01L 2224/85359 ・・・・Material

H01L 2224/8536 ・・・Bonding interfaces of the semiconductor or solid state body

H01L 2224/85365 ・・・・Shape, e.g. interlocking features

H01L 2224/85375 ・・・・having an external coating, e.g. protective bond-through coating

H01L 2224/85379 ・・・・Material

H01L 2224/8538 ・・・Bonding interfaces outside the semiconductor or solid-state body

H01L 2224/85385 ・・・・Shape, e.g. interlocking features

H01L 2224/85395 ・・・・having an external coating, e.g. protective bond-through coating

H01L 2224/85399 ・・・・Material

H01L 2224/854 ・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof

H01L 2224/85401 ・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/85405 ・・・・・・・Gallium (Ga) as principal constituent

H01L 2224/85409 ・・・・・・・Indium (In) as principal constituent

H01L 2224/85411 ・・・・・・・Tin (Sn) as principal constituent

H01L 2224/85413 ・・・・・・・Bismuth (Bi) as principal constituent

H01L 2224/85414 ・・・・・・・Thallium (Tl) as principal constituent

H01L 2224/85416 ・・・・・・・Lead (Pb) as principal constituent

H01L 2224/85417 ・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/85418 ・・・・・・・Zinc (Zn) as principal constituent

H01L 2224/8542 ・・・・・・・Antimony (Sb) as principal constituent

H01L 2224/85423 ・・・・・・・Magnesium (Mg) as principal constituent

H01L 2224/85424 ・・・・・・・Aluminium (Al) as principal constituent

H01L 2224/85438 ・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/85439 ・・・・・・・Silver (Ag) as principal constituent

H01L 2224/85444 ・・・・・・・Gold (Au) as principal constituent

H01L 2224/85447 ・・・・・・・Copper (Cu) as principal constituent

H01L 2224/85449 ・・・・・・・Manganese (Mn) as principal constituent

H01L 2224/85455 ・・・・・・・Nickel (Ni) as principal constituent

H01L 2224/85457 ・・・・・・・Cobalt (Co) as principal constituent

H01L 2224/8546 ・・・・・・・Iron (Fe) as principal constituent

H01L 2224/85463 ・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/85464 ・・・・・・・Palladium (Pd) as principal constituent

H01L 2224/85466 ・・・・・・・Titanium (Ti) as principal constituent

H01L 2224/85469 ・・・・・・・Platinum (Pt) as principal constituent

H01L 2224/8547 ・・・・・・・Zirconium (Zr) as principal constituent

H01L 2224/85471 ・・・・・・・Chromium (Cr) as principal constituent

H01L 2224/85472 ・・・・・・・Vanadium (V) as principal constituent

H01L 2224/85473 ・・・・・・・Rhodium (Rh) as principal constituent

H01L 2224/85476 ・・・・・・・Ruthenium (Ru) as principal constituent

H01L 2224/85478 ・・・・・・・Iridium (Ir) as principal constituent

H01L 2224/85479 ・・・・・・・Niobium (Nb) as principal constituent

H01L 2224/8548 ・・・・・・・Molybdenum (Mo) as principal constituent

H01L 2224/85481 ・・・・・・・Tantalum (Ta) as principal constituent

H01L 2224/85483 ・・・・・・・Rhenium (Re) as principal constituent

H01L 2224/85484 ・・・・・・・Tungsten (W) as principal constituent

H01L 2224/85486 ・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/85487 ・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/85488)

H01L 2224/85488 ・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/8549 ・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/85491 ・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/85493 ・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/854 to H01L 2224/85491, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/85494 ・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/854 to H01L 2224/85491

H01L 2224/85495 ・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/854 to H01L 2224/85491

H01L 2224/85498 ・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/85499 ・・・・・・Material of the matrix

H01L 2224/855 ・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof

H01L 2224/85501 ・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/85505 ・・・・・・・・・Gallium (Ga) as principal constituent

H01L 2224/85509 ・・・・・・・・・Indium (In) as principal constituent

H01L 2224/85511 ・・・・・・・・・Tin (Sn) as principal constituent

H01L 2224/85513 ・・・・・・・・・Bismuth (Bi) as principal constituent

H01L 2224/85514 ・・・・・・・・・Thallium (Tl) as principal constituent

H01L 2224/85516 ・・・・・・・・・Lead (Pb) as principal constituent

H01L 2224/85517 ・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/85518 ・・・・・・・・・Zinc (Zn) as principal constituent

H01L 2224/8552 ・・・・・・・・・Antimony (Sb) as principal constituent

H01L 2224/85523 ・・・・・・・・・Magnesium (Mg) as principal constituent

H01L 2224/85524 ・・・・・・・・・Aluminium (Al) as principal constituent

H01L 2224/85538 ・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/85539 ・・・・・・・・・Silver (Ag) as principal constituent

H01L 2224/85544 ・・・・・・・・・Gold (Au) as principal constituent

H01L 2224/85547 ・・・・・・・・・Copper (Cu) as principal constituent

H01L 2224/85549 ・・・・・・・・・Manganese (Mn) as principal constituent

H01L 2224/85555 ・・・・・・・・・Nickel (Ni) as principal constituent

H01L 2224/85557 ・・・・・・・・・Cobalt (Co) as principal constituent

H01L 2224/8556 ・・・・・・・・・Iron (Fe) as principal constituent

H01L 2224/85563 ・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/85564 ・・・・・・・・・Palladium (Pd) as principal constituent

H01L 2224/85566 ・・・・・・・・・Titanium (Ti) as principal constituent

H01L 2224/85569 ・・・・・・・・・Platinum (Pt) as principal constituent

H01L 2224/8557 ・・・・・・・・・Zirconium (Zr) as principal constituent

H01L 2224/85571 ・・・・・・・・・Chromium (Cr) as principal constituent

H01L 2224/85572 ・・・・・・・・・Vanadium (V) as principal constituent

H01L 2224/85573 ・・・・・・・・・Rhodium (Rh) as principal constituent

H01L 2224/85576 ・・・・・・・・・Ruthenium (Ru) as principal constituent

H01L 2224/85578 ・・・・・・・・・Iridium (Ir) as principal constituent

H01L 2224/85579 ・・・・・・・・・Niobium (Nb) as principal constituent

H01L 2224/8558 ・・・・・・・・・Molybdenum (Mo) as principal constituent

H01L 2224/85581 ・・・・・・・・・Tantalum (Ta) as principal constituent

H01L 2224/85583 ・・・・・・・・・Rhenium (Re) as principal constituent

H01L 2224/85584 ・・・・・・・・・Tungsten (W) as principal constituent

H01L 2224/85586 ・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/85587 ・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/85588)

H01L 2224/85588 ・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/8559 ・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/85591 ・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/85593 ・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/855 to H01L 2224/85591, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/85594 ・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/855 to H01L 2224/85591

H01L 2224/85595 ・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/855 to H01L 2224/85591

H01L 2224/85598 ・・・・・・Fillers

H01L 2224/85599 ・・・・・・・Base material

H01L 2224/856 ・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof

H01L 2224/85601 ・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/85605 ・・・・・・・・・・Gallium (Ga) as principal constituent

H01L 2224/85609 ・・・・・・・・・・Indium (In) as principal constituent

H01L 2224/85611 ・・・・・・・・・・Tin (Sn) as principal constituent

H01L 2224/85613 ・・・・・・・・・・Bismuth (Bi) as principal constituent

H01L 2224/85614 ・・・・・・・・・・Thallium (Tl) as principal constituent

H01L 2224/85616 ・・・・・・・・・・Lead (Pb) as principal constituent

H01L 2224/85617 ・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/85618 ・・・・・・・・・・Zinc (Zn) as principal constituent

H01L 2224/8562 ・・・・・・・・・・Antimony (Sb) as principal constituent

H01L 2224/85623 ・・・・・・・・・・Magnesium (Mg) as principal constituent

H01L 2224/85624 ・・・・・・・・・・Aluminium (Al) as principal constituent

H01L 2224/85638 ・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/85639 ・・・・・・・・・・Silver (Ag) as principal constituent

H01L 2224/85644 ・・・・・・・・・・Gold (Au) as principal constituent

H01L 2224/85647 ・・・・・・・・・・Copper (Cu) as principal constituent

H01L 2224/85649 ・・・・・・・・・・Manganese (Mn) as principal constituent

H01L 2224/85655 ・・・・・・・・・・Nickel (Ni) as principal constituent

H01L 2224/85657 ・・・・・・・・・・Cobalt (Co) as principal constituent

H01L 2224/8566 ・・・・・・・・・・Iron (Fe) as principal constituent

H01L 2224/85663 ・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/85664 ・・・・・・・・・・Palladium (Pd) as principal constituent

H01L 2224/85666 ・・・・・・・・・・Titanium (Ti) as principal constituent

H01L 2224/85669 ・・・・・・・・・・Platinum (Pt) as principal constituent

H01L 2224/8567 ・・・・・・・・・・Zirconium (Zr) as principal constituent

H01L 2224/85671 ・・・・・・・・・・Chromium (Cr) as principal constituent

H01L 2224/85672 ・・・・・・・・・・Vanadium (V) as principal constituent

H01L 2224/85673 ・・・・・・・・・・Rhodium (Rh) as principal constituent

H01L 2224/85676 ・・・・・・・・・・Ruthenium (Ru) as principal constituent

H01L 2224/85678 ・・・・・・・・・・Iridium (Ir) as principal constituent

H01L 2224/85679 ・・・・・・・・・・Niobium (Nb) as principal constituent

H01L 2224/8568 ・・・・・・・・・・Molybdenum (Mo) as principal constituent

H01L 2224/85681 ・・・・・・・・・・Tantalum (Ta) as principal constituent

H01L 2224/85683 ・・・・・・・・・・Rhenium (Re) as principal constituent

H01L 2224/85684 ・・・・・・・・・・Tungsten (W) as principal constituent

H01L 2224/85686 ・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/85687 ・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/85688)

H01L 2224/85688 ・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/8569 ・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/85691 ・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/85693 ・・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/856 to H01L 2224/85691, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/85694 ・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/856 to H01L 2224/85691

H01L 2224/85695 ・・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/856 to H01L 2224/85691

H01L 2224/85698 ・・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/85699 ・・・・・・・Coating material

H01L 2224/857 ・・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof

H01L 2224/85701 ・・・・・・・・・the principal constituent melting at a temperature of less than 400°C

H01L 2224/85705 ・・・・・・・・・・Gallium (Ga) as principal constituent

H01L 2224/85709 ・・・・・・・・・・Indium (In) as principal constituent

H01L 2224/85711 ・・・・・・・・・・Tin (Sn) as principal constituent

H01L 2224/85713 ・・・・・・・・・・Bismuth (Bi) as principal constituent

H01L 2224/85714 ・・・・・・・・・・Thallium (Tl) as principal constituent

H01L 2224/85716 ・・・・・・・・・・Lead (Pb) as principal constituent

H01L 2224/85717 ・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C

H01L 2224/85718 ・・・・・・・・・・Zinc (Zn) as principal constituent

H01L 2224/8572 ・・・・・・・・・・Antimony (Sb) as principal constituent

H01L 2224/85723 ・・・・・・・・・・Magnesium (Mg) as principal constituent

H01L 2224/85724 ・・・・・・・・・・Aluminium (Al) as principal constituent

H01L 2224/85738 ・・・・・・・・・the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C

H01L 2224/85739 ・・・・・・・・・・Silver (Ag) as principal constituent

H01L 2224/85744 ・・・・・・・・・・Gold (Au) as principal constituent

H01L 2224/85747 ・・・・・・・・・・Copper (Cu) as principal constituent

H01L 2224/85749 ・・・・・・・・・・Manganese (Mn) as principal constituent

H01L 2224/85755 ・・・・・・・・・・Nickel (Ni) as principal constituent

H01L 2224/85757 ・・・・・・・・・・Cobalt (Co) as principal constituent

H01L 2224/8576 ・・・・・・・・・・Iron (Fe) as principal constituent

H01L 2224/85763 ・・・・・・・・・the principal constituent melting at a temperature of greater than 1550°C

H01L 2224/85764 ・・・・・・・・・・Palladium (Pd) as principal constituent

H01L 2224/85766 ・・・・・・・・・・Titanium (Ti) as principal constituent

H01L 2224/85769 ・・・・・・・・・・Platinum (Pt) as principal constituent

H01L 2224/8577 ・・・・・・・・・・Zirconium (Zr) as principal constituent

H01L 2224/85771 ・・・・・・・・・・Chromium (Cr) as principal constituent

H01L 2224/85772 ・・・・・・・・・・Vanadium (V) as principal constituent

H01L 2224/85773 ・・・・・・・・・・Rhodium (Rh) as principal constituent

H01L 2224/85776 ・・・・・・・・・・Ruthenium (Ru) as principal constituent

H01L 2224/85778 ・・・・・・・・・・Iridium (Ir) as principal constituent

H01L 2224/85779 ・・・・・・・・・・Niobium (Nb) as principal constituent

H01L 2224/8578 ・・・・・・・・・・Molybdenum (Mo) as principal constituent

H01L 2224/85781 ・・・・・・・・・・Tantalum (Ta) as principal constituent

H01L 2224/85783 ・・・・・・・・・・Rhenium (Re) as principal constituent

H01L 2224/85784 ・・・・・・・・・・Tungsten (W) as principal constituent

H01L 2224/85786 ・・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2224/85787 ・・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics H01L 2224/85788)

H01L 2224/85788 ・・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2224/8579 ・・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2224/85791 ・・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2224/85793 ・・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2224/857 to H01L 2224/85791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2224/85794 ・・・・・・・・with a principal constituent of the material being a liquid not provided for in groups H01L 2224/857 to H01L 2224/85791

H01L 2224/85795 ・・・・・・・・with a principal constituent of the material being a gas not provided for in groups H01L 2224/857 to H01L 2224/85791

H01L 2224/85798 ・・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2224/85799 ・・・・・・Shape or distribution of the fillers

H01L 2224/858 ・・・Bonding techniques

H01L 2224/85801 ・・・・Soldering or alloying

H01L 2224/85805 ・・・・・involving forming a eutectic alloy at the bonding interface

H01L 2224/8581 ・・・・・involving forming an intermetallic compound at the bonding interface

H01L 2224/85815 ・・・・・Reflow soldering

H01L 2224/8582 ・・・・・Diffusion bonding

H01L 2224/85825 ・・・・・・Solid-liquid interdiffusion

H01L 2224/8583 ・・・・・・Solid-solid interdiffusion, e.g. "direct bonding"

H01L 2224/8584 ・・・・Sintering

H01L 2224/8585 ・・・・using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester

H01L 2224/85855 ・・・・・Hardening the adhesive by curing, i.e. thermosetting

H01L 2224/85856 ・・・・・・Pre-cured adhesive, i.e. B-stage adhesive

H01L 2224/85859 ・・・・・・Localised curing of parts of the connector

H01L 2224/85862 ・・・・・・Heat curing

H01L 2224/85865 ・・・・・・Microwave curing

H01L 2224/85868 ・・・・・・Infrared [IR] curing

H01L 2224/85871 ・・・・・・Visible light curing

H01L 2224/85874 ・・・・・・Ultraviolet [UV] curing

H01L 2224/85877 ・・・・・・Moisture curing, i.e. curing by exposing to humidity, e.g. for silicones and polyurethanes

H01L 2224/8588 ・・・・・Hardening the adhesive by cooling, e.g. for thermoplastics or hot-melt adhesives

H01L 2224/85885 ・・・・・Combinations of two or more hardening methods provided for in at least two different groups from H01L 2224/85855 to H01L 2224/8588, e.g. for hybrid thermoplastic-thermosetting adhesives

H01L 2224/8589 ・・・・using an inorganic non metallic glass type adhesive, e.g. solder glass

H01L 2224/85893 ・・・・Anodic bonding, i.e. bonding by applying a voltage across the interface in order to induce ions migration leading to an irreversible chemical bond

H01L 2224/85895 ・・・・Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces

H01L 2224/85897 ・・・・・between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding

H01L 2224/85898 ・・・・・between electrically insulating surfaces, e.g. oxide or nitride layers

H01L 2224/85899 ・・・・Combinations of bonding methods provided for in at least two different groups from H01L 2224/858 to T01L 224/85896

H01L 2224/859 ・・・involving monitoring, e.g. feedback loop

H01L 2224/85909 ・・・Post-treatment of the connector or wire bonding area

H01L 2224/8591 ・・・・Cleaning, e.g. oxide removal step, desmearing

H01L 2224/85911 ・・・・・Chemical cleaning, e.g. etching, flux

H01L 2224/85912 ・・・・・Mechanical cleaning, e.g. abrasion using hydro blasting, brushes, ultrasonic cleaning, dry ice blasting, gas-flow

H01L 2224/85913 ・・・・・Plasma cleaning

H01L 2224/85914 ・・・・・Thermal cleaning, e.g. using laser ablation or by electrostatic corona discharge

H01L 2224/85916 ・・・・・・using a laser

H01L 2224/85917 ・・・・・Electron beam cleaning

H01L 2224/85919 ・・・・・Combinations of two or more cleaning methods provided for in at least two different groups from H01L 2224/8591 to H01L 2224/85914

H01L 2224/8592 ・・・・Applying permanent coating, e.g. protective coating

H01L 2224/8593 ・・・・Reshaping e.g. for severing the wire, modifying the wedge or ball or the loop shape

H01L 2224/85931 ・・・・・by chemical means, e.g. etching

H01L 2224/85935 ・・・・・by heating means, e.g. reflowing

H01L 2224/85937 ・・・・・・using a polychromatic heating lamp

H01L 2224/85939 ・・・・・・using a laser

H01L 2224/85941 ・・・・・・Induction heating, i.e. eddy currents

H01L 2224/85943 ・・・・・・using a flame torch, e.g. hydrogen torch

H01L 2224/85945 ・・・・・・using a corona discharge, e.g. electronic flame off [EFO]

H01L 2224/85947 ・・・・・by mechanical means, e.g. “pull-and-cut”, pressing, stamping

H01L 2224/85948 ・・・・Thermal treatments, e.g. annealing, controlled cooling

H01L 2224/85951 ・・・・Forming additional members, e.g. for reinforcing

H01L 2224/85986 ・・・Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence

H01L 2224/86 ・・using tape automated bonding [TAB]

H01L 2224/86001 ・・・involving a temporary auxiliary member not forming part of the bonding apparatus

H01L 2224/86002 ・・・・being a removable or sacrificial coating

H01L 2224/86005 ・・・・being a temporary or sacrificial substrate

H01L 2224/86007 ・・・involving a permanent auxiliary member being left in the finished device e.g. aids for holding or protecting the TAB connector during or after the bonding process

H01L 2224/86009 ・・・Pre-treatment of the connector or the bonding area

H01L 2224/8601 ・・・・Cleaning, e.g. oxide removal step, desmearing

H01L 2224/8603 ・・・・Reshaping

H01L 2224/86031 ・・・・・by chemical means, e.g. etching, anodisation

H01L 2224/86035 ・・・・・by heating

H01L 2224/86039 ・・・・・・using a laser

H01L 2224/86045 ・・・・・・using a corona discharge, e.g. electronic flame off [EFO]

H01L 2224/86047 ・・・・・by mechanical means, e.g. severing, pressing, stamping

H01L 2224/86048 ・・・・Thermal treatment, e.g. annealing, controlled pre-heating or pre-cooling

H01L 2224/86051 ・・・・Forming additional members

H01L 2224/86053 ・・・Bonding environment

H01L 2224/86054 ・・・・Composition of the atmosphere

H01L 2224/86085 ・・・・being a liquid, e.g. fluidic self-assembly

H01L 2224/8609 ・・・・Vacuum

H01L 2224/86091 ・・・・Under pressure

H01L 2224/86095 ・・・・Temperature settings

H01L 2224/86096 ・・・・・Transient conditions

H01L 2224/86097 ・・・・・・Heating

H01L 2224/86098 ・・・・・・Cooling

H01L 2224/86099 ・・・・・Ambient temperature

H01L 2224/861 ・・・the connector being supplied to the parts to be connected in the bonding apparatus

H01L 2224/8611 ・・・involving protection against electrical discharge, e.g. removing electrostatic charge

H01L 2224/8612 ・・・Aligning

H01L 2224/86121 ・・・・Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors

H01L 2224/86122 ・・・・・by detecting inherent features of, or outside, the semiconductor or solid-state body

H01L 2224/8613 ・・・・・using marks formed on the semiconductor or solid-state body

H01L 2224/86132 ・・・・・using marks formed outside the semiconductor or solid-state body, i.e. ?off-chip?

H01L 2224/86136 ・・・・involving guiding structures, e.g. spacers or supporting members

H01L 2224/86138 ・・・・・the guiding structures being at least partially left in the finished device

H01L 2224/86143 ・・・・Passive alignment, i.e. self alignment, e.g. using surface energy, chemical reactions, thermal equilibrium

H01L 2224/86148 ・・・・involving movement of a part of the bonding apparatus

H01L 2224/86149 ・・・・・being the lower part of the bonding apparatus, i.e. holding means for the bodies to be connected, e.g. XY table

H01L 2224/8615 ・・・・・・Rotational movements

H01L 2224/8616 ・・・・・・Translational movements

H01L 2224/86169 ・・・・・being the upper part of the bonding apparatus, e.g. nozzle

H01L 2224/8617 ・・・・・・Rotational movement

H01L 2224/8618 ・・・・・・Translational movements

H01L 2224/86181 ・・・・・・・connecting first on the semiconductor or solid-state body, i.e. on-chip,

H01L 2224/86186 ・・・・・・・connecting first outside the semiconductor or solid-state body, i.e. off-chip

H01L 2224/86191 ・・・・・・・connecting first both on and outside the semiconductor or solid-state body

H01L 2224/862 ・・・Applying energy for connecting

H01L 2224/86201 ・・・・Compression bonding

H01L 2224/86203 ・・・・・Thermo-compression bonding

H01L 2224/86205 ・・・・・Ultrasonic bonding

H01L 2224/86207 ・・・・・・Thermosonic bonding

H01L 2224/8621 ・・・・with energy being in the form of electromagnetic radiation

H01L 2224/86212 ・・・・・Induction heating, i.e. eddy currents

H01L 2224/86214 ・・・・・using a laser

H01L 2224/8623 ・・・・・Polychromatic or infrared lamp heating

H01L 2224/86232 ・・・・・using an autocatalytic reaction, e.g. exothermic brazing

H01L 2224/86234 ・・・・・using means for applying energy being within the device, e.g. integrated heater

H01L 2224/86236 ・・・・・using electro-static corona discharge

H01L 2224/86237 ・・・・・using electron beam (electron beam in general B23K 15/00)

H01L 2224/86238 ・・・・・using electric resistance welding, i.e. ohmic heating

H01L 2224/8634 ・・・Bonding interfaces of the connector

H01L 2224/86345 ・・・・Shape, e.g. interlocking features

H01L 2224/86355 ・・・・having an external coating, e.g. protective bond-through coating

H01L 2224/86359 ・・・・Material

H01L 2224/8636 ・・・Bonding interfaces of the semiconductor or solid state body

H01L 2224/86365 ・・・・Shape, e.g. interlocking features

H01L 2224/86375 ・・・・having an external coating, e.g. protective bond-through coating

H01L 2224/86379 ・・・・Material

H01L 2224/8638 ・・・Bonding interfaces outside the semiconductor or solid-state body

H01L 2224/86385 ・・・・Shape, e.g. interlocking features

H01L 2224/86395 ・・・・having an external coating, e.g. protective bond-through coating

H01L 2224/86399 ・・・・Material

H01L 2224/868 ・・・Bonding techniques

H01L 2224/86801 ・・・・Soldering or alloying

H01L 2224/86805 ・・・・・involving forming a eutectic alloy at the bonding interface

H01L 2224/8681 ・・・・・involving forming an intermetallic compound at the bonding interface

H01L 2224/86815 ・・・・・Reflow soldering

H01L 2224/8682 ・・・・・Diffusion bonding

H01L 2224/86825 ・・・・・・Solid-liquid interdiffusion

H01L 2224/8683 ・・・・・・Solid-solid interdiffusion

H01L 2224/8684 ・・・・Sintering

H01L 2224/8685 ・・・・using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester

H01L 2224/86855 ・・・・・Hardening the adhesive by curing, i.e. thermosetting

H01L 2224/86856 ・・・・・・Pre-cured adhesive, i.e. B-stage adhesive

H01L 2224/86859 ・・・・・・Localised curing of parts of the connector

H01L 2224/86862 ・・・・・・Heat curing

H01L 2224/86865 ・・・・・・Microwave curing

H01L 2224/86868 ・・・・・・Infrared [IR] curing

H01L 2224/86871 ・・・・・・Visible light curing

H01L 2224/86874 ・・・・・・Ultraviolet [UV] curing

H01L 2224/86877 ・・・・・・Moisture curing, i.e. curing by exposing to humidity, e.g. for silicones and polyurethanes

H01L 2224/8688 ・・・・・Hardening the adhesive by cooling, e.g. for thermoplastics or hot-melt adhesives

H01L 2224/86885 ・・・・・Combinations of two or more hardening methods provided for in at least two different groups selected from H01L 2224/86855 to H01L 2224/8688, e.g. hybrid thermoplastic-thermosetting adhesives

H01L 2224/8689 ・・・・using an inorganic non metallic glass type adhesive, e.g. solder glass

H01L 2224/86893 ・・・・Anodic bonding, i.e. bonding by applying a voltage across the interface in order to induce ions migration leading to an irreversible chemical bond

H01L 2224/86895 ・・・・Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces

H01L 2224/86896 ・・・・・between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding

H01L 2224/86897 ・・・・・between electrically insulating surfaces, e.g. oxide or nitride layers

H01L 2224/86899 ・・・・Combinations of bonding methods provided for in at least two different groups from H01L 2224/868 to H01L 2224/86897

H01L 2224/869 ・・・involving monitoring, e.g. feedback loop

H01L 2224/86909 ・・・Post-treatment of the connector or the bonding area

H01L 2224/8691 ・・・・Cleaning, e.g. oxide removal step, desmearing

H01L 2224/8693 ・・・・Reshaping

H01L 2224/86931 ・・・・・by chemical means, e.g. etching, anodisation

H01L 2224/86935 ・・・・・by heating means

H01L 2224/86939 ・・・・・・using a laser

H01L 2224/86945 ・・・・・・using a corona discharge, e.g. electronic flame off [EFO]

H01L 2224/86947 ・・・・・by mechanical means, e.g. severing, pressing, stamping

H01L 2224/86948 ・・・・Thermal treatments, e.g. annealing, controlled pre-heating or pre-cooling

H01L 2224/86951 ・・・・Forming additional members

H01L 2224/86986 ・・・Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence

H01L 2224/89 ・・using at least one connector not provided for in any of the groups H01L 2224/81 to H01L 2224/86

H01L 2224/90 ・Methods for connecting semiconductor or solid state bodies using means for bonding not being attached to, or not being formed on, the body surface to be connected,e.g. pressure contacts using springs or clips

H01L 2224/91 ・Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L 2224/80 to H01L 2224/90

H01L 2224/92 ・・Specific sequence of method steps

H01L 2224/9201 ・・・Forming connectors during the connecting process, e.g. in-situ formation of bumps

H01L 2224/9202 ・・・Forming additional connectors after the connecting process

H01L 2224/9205 ・・・Intermediate bonding steps, i.e. partial connection of the semiconductor or solid-state body during the connecting process

H01L 2224/921 ・・・Connecting a surface with connectors of different types

H01L 2224/9211 ・・・・Parallel connecting processes

H01L 2224/9212 ・・・・Sequential connecting processes

H01L 2224/92122 ・・・・・the first connecting process involving a bump connector

H01L 2224/92124 ・・・・・・the second connecting process involving a build-up interconnect

H01L 2224/92125 ・・・・・・the second connecting process involving a layer connector

H01L 2224/92127 ・・・・・・the second connecting process involving a wire connector

H01L 2224/92132 ・・・・・the first connecting process involving a build-up interconnect

H01L 2224/92133 ・・・・・・the second connecting process involving a bump connector

H01L 2224/92135 ・・・・・・the second connecting process involving a layer connector

H01L 2224/92136 ・・・・・・the second connecting process involving a strap connector

H01L 2224/92137 ・・・・・・the second connecting process involving a wire connector

H01L 2224/92138 ・・・・・・the second connecting process involving a TAB connector

H01L 2224/92142 ・・・・・the first connecting process involving a layer connector

H01L 2224/92143 ・・・・・・the second connecting process involving a bump connector

H01L 2224/92144 ・・・・・・the second connecting process involving a build-up interconnect

H01L 2224/92147 ・・・・・・the second connecting process involving a wire connector

H01L 2224/92148 ・・・・・・the second connecting process involving a TAB connector

H01L 2224/92152 ・・・・・the first connecting process involving a strap connector

H01L 2224/92153 ・・・・・・the second connecting process involving a bump connector

H01L 2224/92155 ・・・・・・the second connecting process involving a layer connector

H01L 2224/92157 ・・・・・・the second connecting process involving a wire connector

H01L 2224/92158 ・・・・・・the second connecting process involving a TAB connector

H01L 2224/92162 ・・・・・the first connecting process involving a wire connector

H01L 2224/92163 ・・・・・・the second connecting process involving a bump connector

H01L 2224/92164 ・・・・・・the second connecting process involving a build-up interconnect

H01L 2224/92165 ・・・・・・the second connecting process involving a layer connector

H01L 2224/92166 ・・・・・・the second connecting process involving a strap connector

H01L 2224/92168 ・・・・・・the second connecting process involving a TAB connector

H01L 2224/92172 ・・・・・the first connecting process involving a TAB connector

H01L 2224/92173 ・・・・・・the second connecting process involving a bump connector

H01L 2224/92174 ・・・・・・the second connecting process involving a build-up interconnect

H01L 2224/92175 ・・・・・・the second connecting process involving a layer connector

H01L 2224/92176 ・・・・・・the second connecting process involving a strap connector

H01L 2224/92177 ・・・・・・the second connecting process involving a wire connector

H01L 2224/922 ・・・Connecting different surfaces of the semiconductor or solid-state body with connectors of different types

H01L 2224/9221 ・・・・Parallel connecting processes

H01L 2224/9222 ・・・・Sequential connecting processes

H01L 2224/92222 ・・・・・the first connecting process involving a bump connector

H01L 2224/92224 ・・・・・・the second connecting process involving a build-up interconnect

H01L 2224/92225 ・・・・・・the second connecting process involving a layer connector

H01L 2224/92226 ・・・・・・the second connecting process involving a strap connector

H01L 2224/92227 ・・・・・・the second connecting process involving a wire connector

H01L 2224/92228 ・・・・・・the second connecting process involving a TAB connector

H01L 2224/92242 ・・・・・the first connecting process involving a layer connector

H01L 2224/92244 ・・・・・・the second connecting process involving a build-up interconnect

H01L 2224/92246 ・・・・・・the second connecting process involving a strap connector

H01L 2224/92247 ・・・・・・the second connecting process involving a wire connector

H01L 2224/92248 ・・・・・・the second connecting process involving a TAB connector

H01L 2224/92252 ・・・・・the first connecting process involving a strap connector

H01L 2224/92253 ・・・・・・the second connecting process involving a bump connector

H01L 2224/92255 ・・・・・・the second connecting process involving a layer connector

H01L 2224/93 ・Batch processes

H01L 2224/94 ・・at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices

H01L 2224/95 ・・at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips

H01L 2224/95001 ・・・involving a temporary auxiliary member not forming part of the bonding apparatus, e.g. removable or sacrificial coating, film or substrate

H01L 2224/95053 ・・・Bonding environment

H01L 2224/95085 ・・・・being a liquid, e.g. for fluidic self-assembly

H01L 2224/95091 ・・・・Under pressure

H01L 2224/95092 ・・・・・Atmospheric pressure e.g. dry self-assembly

H01L 2224/95093 ・・・・・Transient conditions, e.g. assisted by a gas flow or a liquid flow

H01L 2224/951 ・・・Supplying the plurality of semiconductor or solid-state bodies

H01L 2224/95101 ・・・・in a liquid medium

H01L 2224/95102 ・・・・・being a colloidal droplet

H01L 2224/9511 ・・・・using a rack or rail

H01L 2224/95115 ・・・・using a roll-to-roll transfer technique

H01L 2224/9512 ・・・Aligning the plurality of semiconductor or solid-state bodies

H01L 2224/95121 ・・・・Active alignment, i.e. by apparatus steering

H01L 2224/95122 ・・・・・by applying vibration

H01L 2224/95123 ・・・・・by applying a pressurised fluid flow e.g. liquid or gas flow

H01L 2224/95133 ・・・・・by applying an electromagnetic field

H01L 2224/95134 ・・・・・・Electrowetting, i.e. by changing the surface energy of a droplet

H01L 2224/95136 ・・・・involving guiding structures, e.g. shape matching, spacers or supporting members

H01L 2224/95143 ・・・・Passive alignment, i.e. self alignment, e.g. using surface energy, chemical reactions, thermal equilibrium

H01L 2224/95144 ・・・・・Magnetic alignment, i.e. using permanent magnetic parts in the semiconductor or solid-state body

H01L 2224/95145 ・・・・・Electrostatic alignment i.e. polarity alignment with Coulomb charges

H01L 2224/95146 ・・・・・by surface tension

H01L 2224/95147 ・・・・・by molecular lock-key, e.g. by DNA

H01L 2224/95148 ・・・・involving movement of a part of the bonding apparatus

H01L 2224/96 ・・・the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting

H01L 2224/97 ・・・the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting

H01L 2224/98 ・Methods for disconnecting semiconductor or solid-state bodies

H01L 2225/00 Details relating to assemblies covered by the group H01L 25/00 but not provided for in its subgroups

H01L 2225/03 ・All the devices being of a type provided for in the same subgroup of groups H01L 27/00 to H01L 51/00

H01L 2225/04 ・・the devices not having separate containers

H01L 2225/065 ・・・the devices being of a type provided for in group H01L 27/00

H01L 2225/06503 ・・・・Stacked arrangements of devices

H01L 2225/06506 ・・・・・Wire or wire-like electrical connections between devices

H01L 2225/0651 ・・・・・Wire or wire-like electrical connections from device to substrate

H01L 2225/06513 ・・・・・Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps

H01L 2225/06517 ・・・・・Bump or bump-like direct electrical connections from device to substrate

H01L 2225/0652 ・・・・・Bump or bump-like direct electrical connections from substrate to substrate

H01L 2225/06524 ・・・・・Electrical connections formed on device or on substrate, e.g. a deposited or grown layer

H01L 2225/06527 ・・・・・Special adaptation of electrical connections, e.g. rewiring, engineering changes, pressure contacts, layout

H01L 2225/06531 ・・・・・・Non-galvanic coupling, e.g. capacitive coupling

H01L 2225/06534 ・・・・・・・Optical coupling

H01L 2225/06537 ・・・・・・Electromagnetic shielding

H01L 2225/06541 ・・・・・Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV] (manufacturing via connections per se H01L 21/76898)

H01L 2225/06544 ・・・・・・Design considerations for via connections, e.g. geometry or layout

H01L 2225/06548 ・・・・・Conductive via connections through the substrate, container, or encapsulation

H01L 2225/06551 ・・・・・Conductive connections on the side of the device

H01L 2225/06555 ・・・・・Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking

H01L 2225/06558 ・・・・・・the devices having passive surfaces facing each other, i.e. in a back-to-back arrangement

H01L 2225/06562 ・・・・・・at least one device in the stack being rotated or offset

H01L 2225/06565 ・・・・・・the devices having the same size and there being no auxiliary carrier between the devices

H01L 2225/06568 ・・・・・・the devices decreasing in size, e.g. pyramidical stack

H01L 2225/06572 ・・・・・Auxiliary carrier between devices, the carrier having an electrical connection structure

H01L 2225/06575 ・・・・・Auxiliary carrier between devices, the carrier having no electrical connection structure

H01L 2225/06579 ・・・・・TAB carriers; beam leads

H01L 2225/06582 ・・・・・Housing for the assembly, e.g. chip scale package [CSP]

H01L 2225/06586 ・・・・・・Housing with external bump or bump-like connectors

H01L 2225/06589 ・・・・・Thermal management, e.g. cooling

H01L 2225/06593 ・・・・・Mounting aids permanently on device; arrangements for alignment (use of temporary supports H01L 21/6835)

H01L 2225/06596 ・・・・・Structural arrangements for testing (testing or measuring during manufacture or treatment H01L 21/66; testing electrical properties or locating electrical faults G01R 31/00)

H01L 2225/10 ・・the devices having separate containers

H01L 2225/1005 ・・・the devices being of a type provided for in group H01L 27/00

H01L 2225/1011 ・・・・the containers being in a stacked arrangement

H01L 2225/1017 ・・・・・the lowermost container comprising a device support

H01L 2225/1023 ・・・・・・the support being an insulating substrate

H01L 2225/1029 ・・・・・・the support being a lead frame

H01L 2225/1035 ・・・・・・the device being entirely enclosed by the support, e.g. high-density interconnect [HDI]

H01L 2225/1041 ・・・・・Special adaptations for top connections of the lowermost container, e.g. redistribution layer, integral interposer

H01L 2225/1047 ・・・・・Details of electrical connections between containers

H01L 2225/1052 ・・・・・・Wire or wire-like electrical connections

H01L 2225/1058 ・・・・・・Bump or bump-like electrical connections, e.g. balls, pillars, posts

H01L 2225/1064 ・・・・・・Electrical connections provided on a side surface of one or more of the containers

H01L 2225/107 ・・・・・・Indirect electrical connections, e.g. via an interposer, a flexible substrate, using TAB (printed circuits H05K 1/00)

H01L 2225/1076 ・・・・・Shape of the containers

H01L 2225/1082 ・・・・・・for improving alignment between containers, e.g. interlocking features

H01L 2225/1088 ・・・・・・Arrangements to limit the height of the assembly

H01L 2225/1094 ・・・・・Thermal management, e.g. cooling

H01L 2227/00 Indexing scheme for devices consisting of a plurality of semiconductor or other solid state components formed in or on a common substrate covered by group H01L 27/00

H01L 2227/32 ・Devices including an organic light emitting device [OLED], e.g. OLED display

H01L 2227/323 ・・Multistep processes for AMOLED

H01L 2227/326 ・・Use of temporary substrate, e.g. for manufacturing of OLED dsiplays having an inorganic driving circuit

H01L 2229/00 Indexing scheme for semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, for details of semiconductor bodies or of electrodes thereof, or for multistep manufacturing processes therefor

H01L 2251/00 Indexing scheme relating to organic semiconductor devices covered by group H01L 51/00

H01L 2251/10 ・Processes specially adapted for the manufacture or treatment of organic semiconductor devices

H01L 2251/105 ・・Patterning of a layer by embossing, e.g. to form trenches in an insulating layer

H01L 2251/30 ・Materials

H01L 2251/301 ・・Inorganic materials

H01L 2251/303 ・・・Oxides, e.g. metal oxides

H01L 2251/305 ・・・・Transparent conductive oxides [TCO]

H01L 2251/306 ・・・・・composed of tin oxides, e.g. F doped SnO2

H01L 2251/308 ・・・・・composed of indium oxides, e.g. ITO

H01L 2251/50 ・Organic light emitting devices

H01L 2251/53 ・・Structure

H01L 2251/5307 ・・・specially adapted for controlling the direction of light emission

H01L 2251/5315 ・・・・Top emission

H01L 2251/5323 ・・・・Two-side emission i.e. TOLED

H01L 2251/533 ・・・・End-face emission

H01L 2251/5338 ・・・Flexible OLED

H01L 2251/5346 ・・・Graded composition

H01L 2251/5353 ・・・Inverted OLED

H01L 2251/5361 ・・・OLED lamp

H01L 2251/5369 ・・・Nanoparticles used in whatever layer except emissive layer, e.g. in packaging

H01L 2251/5376 ・・・Combination of fluorescent and phosphorescent emission

H01L 2251/5384 ・・・Multiple hosts in the emissive layer

H01L 2251/5392 ・・・Short-circuit prevention

H01L 2251/55 ・・characterised by parameters

H01L 2251/552 ・・・HOMO-LUMO-EF

H01L 2251/554 ・・・Oxidation-reduction potential

H01L 2251/556 ・・・Temperature

H01L 2251/558 ・・・Thickness

H01L 2251/56 ・・Processes specially adapted for the manufacture or treatment of OLED

H01L 2251/562 ・・・Aging

H01L 2251/564 ・・・Application of alternating current

H01L 2251/566 ・・・Division of substrate, e.g. for manufacturing of OLED dsiplays

H01L 2251/568 ・・・Repairing

H01L 2924/00 Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L 24/00

H01L 2924/0001 ・Technical content checked by a classifier

  NOTE - Codes H01L 2924/0001 to H01L 2924/0002 are used to describe the status of reclassification; they do not relate to technical features as such

H01L 2924/00011 ・・Not relevant to the scope of the group, the symbol of which is combined with the symbol of this group

H01L 2924/00012 ・・Relevant to the scope of the group, the symbol of which is combined with the symbol of this group

H01L 2924/00013 ・・Fully indexed content

H01L 2924/00014 ・・the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details

H01L 2924/00015 ・・the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed as prior art

H01L 2924/0002 ・・Not covered by any one of groups H01L24, T01L24 and T01L224

H01L 2924/01 ・Chemical elements

H01L 2924/01001 ・・Hydrogen [H]

H01L 2924/01002 ・・Helium [He]

H01L 2924/01003 ・・Lithium [Li]

H01L 2924/01004 ・・Beryllium [Be]

H01L 2924/01005 ・・Boron [B]

H01L 2924/01006 ・・Carbon [C]

H01L 2924/01007 ・・Nitrogen [N]

H01L 2924/01008 ・・Oxygen [O]

H01L 2924/01009 ・・Fluorine [F]

H01L 2924/0101 ・・Neon [Ne]

H01L 2924/01011 ・・Sodium [Na]

H01L 2924/01012 ・・Magnesium [Mg]

H01L 2924/01013 ・・Aluminum [Al]

H01L 2924/01014 ・・Silicon [Si]

H01L 2924/01015 ・・Phosphorus [P]

H01L 2924/01016 ・・Sulfur [S]

H01L 2924/01017 ・・Chlorine [Cl]

H01L 2924/01018 ・・Argon [Ar]

H01L 2924/01019 ・・Potassium [K]

H01L 2924/0102 ・・Calcium [Ca]

H01L 2924/01021 ・・Scandium [Sc]

H01L 2924/01022 ・・Titanium [Ti]

H01L 2924/01023 ・・Vanadium [V]

H01L 2924/01024 ・・Chromium [Cr]

H01L 2924/01025 ・・Manganese [Mn]

H01L 2924/01026 ・・Iron [Fe]

H01L 2924/01027 ・・Cobalt [Co]

H01L 2924/01028 ・・Nickel [Ni]

H01L 2924/01029 ・・Copper [Cu]

H01L 2924/0103 ・・Zinc [Zn]

H01L 2924/01031 ・・Gallium [Ga]

H01L 2924/01032 ・・Germanium [Ge]

H01L 2924/01033 ・・Arsenic [As]

H01L 2924/01034 ・・Selenium [Se]

H01L 2924/01035 ・・Bromine [Br]

H01L 2924/01036 ・・Krypton [Kr]

H01L 2924/01037 ・・Rubidium [Rb]

H01L 2924/01038 ・・Strontium [Sr]

H01L 2924/01039 ・・Yttrium [Y]

H01L 2924/0104 ・・Zirconium [Zr]

H01L 2924/01041 ・・Niobium [Nb]

H01L 2924/01042 ・・Molybdenum [Mo]

H01L 2924/01043 ・・Technetium [Tc]

H01L 2924/01044 ・・Ruthenium [Ru]

H01L 2924/01045 ・・Rhodium [Rh]

H01L 2924/01046 ・・Palladium [Pd]

H01L 2924/01047 ・・Silver [Ag]

H01L 2924/01048 ・・Cadmium [Cd]

H01L 2924/01049 ・・Indium [In]

H01L 2924/0105 ・・Tin [Sn]

H01L 2924/01051 ・・Antimony [Sb]

H01L 2924/01052 ・・Tellurium [Te]

H01L 2924/01053 ・・Iodine [I]

H01L 2924/01054 ・・Xenon [Xe]

H01L 2924/01055 ・・Cesium [Cs]

H01L 2924/01056 ・・Barium [Ba]

H01L 2924/01057 ・・Lanthanum [La]

H01L 2924/01058 ・・Cerium [Ce]

H01L 2924/01059 ・・Praseodymium [Pr]

H01L 2924/0106 ・・Neodymium [Nd]

H01L 2924/01061 ・・Promethium [Pm]

H01L 2924/01062 ・・Samarium [Sm]

H01L 2924/01063 ・・Europium [Eu]

H01L 2924/01064 ・・Gadolinium [Gd]

H01L 2924/01065 ・・Terbium [Tb]

H01L 2924/01066 ・・Dysprosium [Dy]

H01L 2924/01067 ・・Holmium [Ho]

H01L 2924/01068 ・・Erbium [Er]

H01L 2924/01069 ・・Thulium [Tm]

H01L 2924/0107 ・・Ytterbium [Yb]

H01L 2924/01071 ・・Lutetium [Lu]

H01L 2924/01072 ・・Hafnium [Hf]

H01L 2924/01073 ・・Tantalum [Ta]

H01L 2924/01074 ・・Tungsten [W]

H01L 2924/01075 ・・Rhenium [Re]

H01L 2924/01076 ・・Osmium [Os]

H01L 2924/01077 ・・Iridium [Ir]

H01L 2924/01078 ・・Platinum [Pt]

H01L 2924/01079 ・・Gold [Au]

H01L 2924/0108 ・・Mercury [Hg]

H01L 2924/01081 ・・Thallium [Tl]

H01L 2924/01082 ・・Lead [Pb]

H01L 2924/01083 ・・Bismuth [Bi]

H01L 2924/01084 ・・Polonium [Po]

H01L 2924/01085 ・・Astatine [At]

H01L 2924/01086 ・・Radon [Rn]

H01L 2924/01087 ・・Francium [Fr]

H01L 2924/01088 ・・Radium [Ra]

H01L 2924/01089 ・・Actinium [Ac]

H01L 2924/0109 ・・Thorium [Th]

H01L 2924/01091 ・・Protactinium [Pa]

H01L 2924/01092 ・・Uranium [U]

H01L 2924/01093 ・・Neptunium [Np]

H01L 2924/01094 ・・Plutonium [Pu]

H01L 2924/011 ・Groups of the periodic table

H01L 2924/01101 ・・Alkali metals

H01L 2924/01102 ・・Alkali earth metals

H01L 2924/01103 ・・Transition metals

H01L 2924/01104 ・・Refractory metals

H01L 2924/01105 ・・Rare earth metals

H01L 2924/01106 ・・・Lanthanides, i.e. Ce, Pr, Nd, Pm, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu

H01L 2924/01107 ・・・Actinides, i.e. Th, Pa, U, Np, Pu, Am, Cm, Bk, Cf, Es, Fm, Md, No, Lr

H01L 2924/01108 ・・Noble metals

H01L 2924/01109 ・・Metalloids or Semi-metals

H01L 2924/0111 ・・Chalcogens

H01L 2924/01111 ・・Halogens

H01L 2924/01112 ・・Noble gases

H01L 2924/012 ・Semiconductor purity grades

H01L 2924/01201 ・・1N purity grades, i.e. 90%

H01L 2924/01202 ・・2N purity grades, i.e. 99%

H01L 2924/01203 ・・3N purity grades, i.e. 99.9%

H01L 2924/01204 ・・4N purity grades, i.e. 99.99%

H01L 2924/01205 ・・5N purity grades, i.e. 99.999%

H01L 2924/01206 ・・6N purity grades, i.e. 99.9999%

H01L 2924/01207 ・・7N purity grades, i.e. 99.99999%

H01L 2924/01208 ・・8N purity grades, i.e. 99.999999%

H01L 2924/013 ・Alloys

H01L 2924/0132 ・・Binary Alloys

H01L 2924/01321 ・・・Isomorphous Alloys

H01L 2924/01322 ・・・Eutectic Alloys i.e. obtained by a liquid transforming into two solid phases

H01L 2924/01323 ・・・・Hypoeutectic alloys i.e with compositions lying to the left of the eutectic point.

H01L 2924/01324 ・・・・Hypereutectic alloys i.e with compositions lying to the right of the eutectic point.

H01L 2924/01325 ・・・Peritectic Alloys i.e. obtained by a liquid and a solid transforming into a new and different solid phase

H01L 2924/01326 ・・・Monotectics i.e. obtained by a liquid transforming into a solid and a new and different liquid phase

H01L 2924/01327 ・・・Intermediate phases i.e. intermetallics compounds

H01L 2924/0133 ・・Ternary Alloys

H01L 2924/0134 ・・Quaternary Alloys

H01L 2924/0135 ・・Quinary Alloys

H01L 2924/014 ・・Solder alloys

H01L 2924/01402 ・・Invar, i.e. single-phase alloy of around 36% nickel and 64% iron

H01L 2924/01403 ・・Kovar, i.e. FeNiCo alloys

H01L 2924/01404 ・・Alloy 42, i.e. FeNi42

H01L 2924/01405 ・・Inovco, i.e. Fe-33Ni-4.5Co

H01L 2924/042 ・Borides composed of metals from groups of the periodic table

H01L 2924/0421 ・・1st Group

H01L 2924/0422 ・・2nd Group

H01L 2924/0423 ・・3rd Group

H01L 2924/0424 ・・4th Group

H01L 2924/0425 ・・5th Group

H01L 2924/0426 ・・6th Group

H01L 2924/0427 ・・7th Group

H01L 2924/0428 ・・8th Group

H01L 2924/0429 ・・9th Group

H01L 2924/044 ・・10th Group

H01L 2924/0441 ・・11th Group

H01L 2924/0442 ・・12th Group

H01L 2924/0443 ・・13th Group

H01L 2924/0444 ・・14th Group

H01L 2924/0445 ・・Lanthanides

H01L 2924/0446 ・・Actinides

H01L 2924/0449 ・・being a combination of two or more materials provided in the groups H01L 2924/0421 to H01L 2924/0446

H01L 2924/04491 ・・having a monocrystalline microstructure

H01L 2924/04492 ・・having a polycrystalline microstructure

H01L 2924/04494 ・・having an amorphous microstructure i.e. glass

H01L 2924/045 ・Carbides composed of metals from groups of the periodic table

H01L 2924/0451 ・・1st Group

H01L 2924/0452 ・・2nd Group

H01L 2924/0453 ・・3rd Group

H01L 2924/0454 ・・4th Group

H01L 2924/04541 ・・・TiC

H01L 2924/0455 ・・5th Group

H01L 2924/0456 ・・6th Group

H01L 2924/04563 ・・・WC

H01L 2924/0457 ・・7th Group

H01L 2924/0458 ・・8th Group

H01L 2924/0459 ・・9th Group

H01L 2924/046 ・・10th Group

H01L 2924/0461 ・・11th Group

H01L 2924/0462 ・・12th Group

H01L 2924/0463 ・・13th Group

H01L 2924/0464 ・・14th Group

H01L 2924/04642 ・・・SiC

H01L 2924/0465 ・・Lanthanides

H01L 2924/0466 ・・Actinides

H01L 2924/0469 ・・being a combination of two or more materials provided in the groups H01L 2924/0451 to H01L 2924/0466

H01L 2924/04691 ・・having a monocrystalline microstructure

H01L 2924/04692 ・・having a polycrystalline microstructure

H01L 2924/04694 ・・having an amorphous microstructure i.e. glass

H01L 2924/047 ・Silicides composed of metals from groups of the periodic table

H01L 2924/0471 ・・1st Group

H01L 2924/0472 ・・2nd Group

H01L 2924/0473 ・・3rd Group

H01L 2924/0474 ・・4th Group

H01L 2924/0475 ・・5th Group

H01L 2924/0476 ・・6th Group

H01L 2924/0477 ・・7th Group

H01L 2924/0478 ・・8th Group

H01L 2924/0479 ・・9th Group

H01L 2924/048 ・・10th Group

H01L 2924/0481 ・・11th Group

H01L 2924/0482 ・・12th Group

H01L 2924/0483 ・・13th Group

H01L 2924/0484 ・・14th Group

H01L 2924/0485 ・・Lanthanides

H01L 2924/0486 ・・Actinides

H01L 2924/0489 ・・being a combination of two or more materials provided in the groups H01L 2924/0471 to H01L 2924/0486

H01L 2924/04891 ・・having a monocrystalline microstructure

H01L 2924/04892 ・・having a polycrystalline microstructure

H01L 2924/04894 ・・having an amorphous microstructure i.e. glass

H01L 2924/049 ・Nitrides composed of metals from groups of the periodic table

H01L 2924/0491 ・・1st Group

H01L 2924/0492 ・・2nd Group

H01L 2924/0493 ・・3rd Group

H01L 2924/0494 ・・4th Group

H01L 2924/04941 ・・・TiN

H01L 2924/0495 ・・5th Group

H01L 2924/04953 ・・・TaN

H01L 2924/0496 ・・6th Group

H01L 2924/0497 ・・7th Group

H01L 2924/0498 ・・8th Group

H01L 2924/0499 ・・9th Group

H01L 2924/05 ・・10th Group

H01L 2924/0501 ・・11th Group

H01L 2924/0502 ・・12th Group

H01L 2924/0503 ・・13th Group

H01L 2924/05032 ・・・AlN

H01L 2924/0504 ・・14th Group

H01L 2924/05042 ・・・Si3N4

H01L 2924/0505 ・・Lanthanides

H01L 2924/0506 ・・Actinides

H01L 2924/0509 ・・being a combination of two or more materials provided in the groups H01L 2924/0491 to H01L 2924/0506

H01L 2924/05091 ・・having a monocrystalline microstructure

H01L 2924/05092 ・・having a polycrystalline microstructure

H01L 2924/05094 ・・having an amorphous microstructure i.e. glass

H01L 2924/051 ・Phosphides composed of metals from groups of the periodic table

H01L 2924/0511 ・・1st Group

H01L 2924/0512 ・・2nd Group

H01L 2924/0513 ・・3rd Group

H01L 2924/0514 ・・4th Group

H01L 2924/0515 ・・5th Group

H01L 2924/0516 ・・6th Group

H01L 2924/0517 ・・7th Group

H01L 2924/0518 ・・8th Group

H01L 2924/0519 ・・9th Group

H01L 2924/052 ・・10th Group

H01L 2924/0521 ・・11th Group

H01L 2924/0522 ・・12th Group

H01L 2924/0523 ・・13th Group

H01L 2924/0524 ・・14th Group

H01L 2924/0525 ・・Lanthanides

H01L 2924/0526 ・・Actinides

H01L 2924/0529 ・・being a combination of two or more materials provided in the groups H01L 2924/0511 to H01L 2924/0526

H01L 2924/05291 ・・having a monocrystalline microstructure

H01L 2924/05292 ・・having a polycrystalline microstructure

H01L 2924/05294 ・・having an amorphous microstructure i.e. glass

H01L 2924/053 ・Oxides composed of metals from groups of the periodic table

H01L 2924/0531 ・・1st Group

H01L 2924/0532 ・・2nd Group

H01L 2924/0533 ・・3rd Group

H01L 2924/0534 ・・4th Group

H01L 2924/05341 ・・・TiO2

H01L 2924/05342 ・・・ZrO2

H01L 2924/0535 ・・5th Group

H01L 2924/0536 ・・6th Group

H01L 2924/0537 ・・7th Group

H01L 2924/0538 ・・8th Group

H01L 2924/05381 ・・・FeOx

H01L 2924/0539 ・・9th Group

H01L 2924/054 ・・10th Group

H01L 2924/0541 ・・11th Group

H01L 2924/0542 ・・12th Group

H01L 2924/0543 ・・13th Group

H01L 2924/05432 ・・・Al2O3

H01L 2924/0544 ・・14th Group

H01L 2924/05442 ・・・SiO2

H01L 2924/0545 ・・Lanthanides

H01L 2924/0546 ・・Actinides

H01L 2924/0549 ・・being a combination of two or more materials provided in the groups H01L 2924/0531 to H01L 2924/0546

H01L 2924/05491 ・・having a monocrystalline microstructure

H01L 2924/05492 ・・having a polycrystalline microstructure

H01L 2924/05494 ・・having an amorphous microstructure i.e. glass

H01L 2924/055 ・Chalcogenides other than oxygen i.e.sulfides, selenides and tellurides composed of metals from groups of the periodic table

H01L 2924/0551 ・・1st Group

H01L 2924/0552 ・・2nd Group

H01L 2924/0553 ・・3rd Group

H01L 2924/0554 ・・4th Group

H01L 2924/0555 ・・5th Group

H01L 2924/0556 ・・6th Group

H01L 2924/0557 ・・7th Group

H01L 2924/0558 ・・8th Group

H01L 2924/0559 ・・9th Group

H01L 2924/056 ・・10th Group

H01L 2924/0561 ・・11th Group

H01L 2924/0562 ・・12th Group

H01L 2924/0563 ・・13th Group

H01L 2924/0564 ・・14th Group

H01L 2924/0565 ・・Lanthanides

H01L 2924/0566 ・・Actinides

H01L 2924/0569 ・・being a combination of two or more materials provided in the groups H01L 2924/0551 to H01L 2924/0566

H01L 2924/05691 ・・having a monocrystalline microstructure

H01L 2924/05692 ・・having a polycrystalline microstructure

H01L 2924/05694 ・・having an amorphous microstructure i.e. glass

H01L 2924/057 ・Halides composed of metals from groups of the periodic table

H01L 2924/0571 ・・1st Group

H01L 2924/0572 ・・2nd Group

H01L 2924/0573 ・・3rd Group

H01L 2924/0574 ・・4th Group

H01L 2924/0575 ・・5th Group

H01L 2924/0576 ・・6th Group

H01L 2924/0577 ・・7th Group

H01L 2924/0578 ・・8th Group

H01L 2924/0579 ・・9th Group

H01L 2924/058 ・・10th Group

H01L 2924/0581 ・・11th Group

H01L 2924/0582 ・・12th Group

H01L 2924/0583 ・・13th Group

H01L 2924/0584 ・・14th Group

H01L 2924/0585 ・・Lanthanides

H01L 2924/0586 ・・Actinides

H01L 2924/0589 ・・being a combination of two or more materials provided in the groups H01L 2924/0571 to H01L 2924/0586

H01L 2924/05891 ・・having a monocrystalline microstructure

H01L 2924/05892 ・・having a polycrystalline microstructure

H01L 2924/05894 ・・having an amorphous microstructure i.e. glass

H01L 2924/059 ・Being combinations of any of the materials from the groups H01L 2924/042 to H01L 2924/0584 e.g. oxynitrides

H01L 2924/05991 ・・having a monocrystalline microstructure

H01L 2924/05992 ・・having a polycrystalline microstructure

H01L 2924/05994 ・・having an amorphous microstructure i.e. glass

H01L 2924/06 ・Polymers (polymers per se C08; polymer adhesives C09J)

H01L 2924/061 ・・Polyolefin polymer

H01L 2924/0615 ・・Styrenic polymer

H01L 2924/062 ・・Halogenated polymer

H01L 2924/0625 ・・Polyvinyl alchohol

H01L 2924/063 ・・Polyvinyl acetate

H01L 2924/0635 ・・Acrylic polymer

H01L 2924/064 ・・Graft polymer

H01L 2924/0645 ・・Block copolymer

H01L 2924/065 ・・ABS

H01L 2924/0655 ・・Polyacetal

H01L 2924/066 ・・Phenolic resin

H01L 2924/0665 ・・Epoxy resin

H01L 2924/067 ・・Polyphenylene

H01L 2924/0675 ・・Polyester

H01L 2924/068 ・・Polycarbonate

H01L 2924/0685 ・・Polyether

H01L 2924/069 ・・Polyurethane

H01L 2924/0695 ・・Polyamide

H01L 2924/07 ・・Polyamine or polyimide

H01L 2924/07001 ・・・Polyamine

H01L 2924/07025 ・・・Polyimide

H01L 2924/0705 ・・Sulfur containing polymer

H01L 2924/0715 ・・Polysiloxane

H01L 2924/078 ・・Adhesive characteristics other than chemical

H01L 2924/07802 ・・・not being an ohmic electrical conductor

H01L 2924/0781 ・・・being an ohmic electrical conductor

H01L 2924/07811 ・・・・Extrinsic, i.e. with electrical conductive fillers

H01L 2924/07812 ・・・・Intrinsic, e.g. polyaniline [PANI]

H01L 2924/0782 ・・・being pressure sensitive

H01L 2924/095 ・with a principal constituent of the material being a combination of two or more materials provided in the groups H01L 2924/013 to H01L 2924/0715

H01L 2924/0951 ・・Glass epoxy laminates

H01L 2924/09511 ・・・FR-4

H01L 2924/09512 ・・・FR-5

H01L 2924/09522 ・・・G10

H01L 2924/09523 ・・・G11

H01L 2924/096 ・・Cermets, i.e. composite material composed of ceramic and metallic materials

H01L 2924/097 ・・Glass-ceramics e.g. devitrified glass

H01L 2924/09701 ・・・Low temperature co-fired ceramic [LTCC]

H01L 2924/10 ・Details of semiconductor or other solid state devices to be connected

H01L 2924/1011 ・・Structure

H01L 2924/1015 ・・Shape

H01L 2924/10155 ・・・being other than a cuboid

H01L 2924/10156 ・・・・at the periphery

H01L 2924/10157 ・・・・at the active surface

H01L 2924/10158 ・・・・at the passive surface

H01L 2924/1016 ・・・being a cuboid

H01L 2924/10161 ・・・・with a rectangular active surface

H01L 2924/10162 ・・・・with a square active surface

H01L 2924/1017 ・・・being a sphere

H01L 2924/102 ・・Material of the semiconductor or solid state bodies

H01L 2924/1025 ・・・Semiconducting materials

H01L 2924/10251 ・・・・Elemental semiconductors i.e. Group IV

H01L 2924/10252 ・・・・・Germanium [Ge]

H01L 2924/10253 ・・・・・Silicon [Si]

H01L 2924/10254 ・・・・・Diamond [C]

H01L 2924/1026 ・・・・Compound semiconductors

H01L 2924/1027 ・・・・・IV

H01L 2924/10271 ・・・・・・Silicon-germanium [SiGe]

H01L 2924/10272 ・・・・・・Silicon Carbide [SiC]

H01L 2924/1032 ・・・・・III-V

H01L 2924/10321 ・・・・・・Aluminium antimonide [AlSb]

H01L 2924/10322 ・・・・・・Aluminium arsenide [AlAs]

H01L 2924/10323 ・・・・・・Aluminium nitride [AlN]

H01L 2924/10324 ・・・・・・Aluminium phosphide [AlP]

H01L 2924/10325 ・・・・・・Boron nitride [BN], e.g. cubic, hexagonal, nanotube

H01L 2924/10326 ・・・・・・Boron phosphide [BP]

H01L 2924/10327 ・・・・・・Boron arsenide [BAs, B12As2]

H01L 2924/10328 ・・・・・・Gallium antimonide [GaSb]

H01L 2924/10329 ・・・・・・Gallium arsenide [GaAs]

H01L 2924/1033 ・・・・・・Gallium nitride [GaN]

H01L 2924/10331 ・・・・・・Gallium phosphide [GaP]

H01L 2924/10332 ・・・・・・Indium antimonide [InSb]

H01L 2924/10333 ・・・・・・Indium arsenide [InAs]

H01L 2924/10334 ・・・・・・Indium nitride [InN]

H01L 2924/10335 ・・・・・・Indium phosphide [InP]

H01L 2924/10336 ・・・・・・Aluminium gallium arsenide [AlGaAs]

H01L 2924/10337 ・・・・・・Indium gallium arsenide [InGaAs]

H01L 2924/10338 ・・・・・・Indium gallium phosphide [InGaP]

H01L 2924/10339 ・・・・・・Aluminium indium arsenide [AlInAs]

H01L 2924/1034 ・・・・・・Aluminium indium antimonide [AlInSb]

H01L 2924/10341 ・・・・・・Gallium arsenide nitride [GaAsN]

H01L 2924/10342 ・・・・・・Gallium arsenide phosphide [GaAsP]

H01L 2924/10343 ・・・・・・Gallium arsenide antimonide [GaAsSb]

H01L 2924/10344 ・・・・・・Aluminium gallium nitride [AlGaN]

H01L 2924/10345 ・・・・・・Aluminium gallium phosphide [AlGaP]

H01L 2924/10346 ・・・・・・Indium gallium nitride [InGaN]

H01L 2924/10347 ・・・・・・Indium arsenide antimonide [InAsSb]

H01L 2924/10348 ・・・・・・Indium gallium antimonide [InGaSb]

H01L 2924/10349 ・・・・・・Aluminium gallium indium phosphide [AlGaInP]

H01L 2924/1035 ・・・・・・Aluminium gallium arsenide phosphide [AlGaInP]

H01L 2924/10351 ・・・・・・Indium gallium arsenide phosphide [InGaAsP]

H01L 2924/10352 ・・・・・・Indium gallium arsenide antimonide [InGaAsSb]

H01L 2924/10353 ・・・・・・Indium arsenide antimonide phosphide [InAsSbP]

H01L 2924/10354 ・・・・・・Aluminium indium arsenide phosphide [AlInAsP]

H01L 2924/10355 ・・・・・・Aluminium gallium arsenide nitride [AlGaAsN]

H01L 2924/10356 ・・・・・・Indium gallium arsenide nitride [InGaAsN]

H01L 2924/10357 ・・・・・・Indium aluminium arsenide nitride [InAlAsN]

H01L 2924/10358 ・・・・・・Gallium arsenide antimonide nitride [GaAsSbN]

H01L 2924/10359 ・・・・・・Gallium indium nitride arsenide antimonide [GaInNAsSb]

H01L 2924/1036 ・・・・・・Gallium indium arsenide antimonide phosphide [GaInAsSbP]

H01L 2924/1037 ・・・・・II-VI

H01L 2924/10371 ・・・・・・Cadmium selenide [CdSe]

H01L 2924/10372 ・・・・・・Cadmium sulfide [CdS]

H01L 2924/10373 ・・・・・・Cadmium telluride [CdTe]

H01L 2924/10375 ・・・・・・Zinc selenide [ZnSe]

H01L 2924/10376 ・・・・・・Zinc sulfide [ZnS]

H01L 2924/10377 ・・・・・・Zinc telluride [ZnTe]

H01L 2924/10378 ・・・・・・Cadmium zinc telluride, i.e. CZT [CdZnTe]

H01L 2924/10379 ・・・・・・Mercury cadmium telluride [HgZnTe]

H01L 2924/1038 ・・・・・・Mercury zinc telluride [HgZnSe]

H01L 2924/10381 ・・・・・・Mercury zinc selenide [HgZnSe]

H01L 2924/1042 ・・・・・I-VII

H01L 2924/10421 ・・・・・・Cuprous chloride [CuCl]

H01L 2924/1047 ・・・・・I-VI

H01L 2924/10471 ・・・・・・Copper sulfide [CuS]

H01L 2924/1052 ・・・・・IV-VI

H01L 2924/10521 ・・・・・・Lead selenide [PbSe]

H01L 2924/10522 ・・・・・・Lead(II)sulfide [PbS]

H01L 2924/10523 ・・・・・・Lead telluride [PbTe]

H01L 2924/10524 ・・・・・・Tin sulfide [SnS, SnS2]

H01L 2924/10525 ・・・・・・Tin telluride [SnTe]

H01L 2924/10526 ・・・・・・Lead tin telluride [PbSnTe]

H01L 2924/10527 ・・・・・・Thallium tin telluride [Tl2SnTe5]

H01L 2924/10528 ・・・・・・Thallium germanium telluride [Tl2GeTe5]

H01L 2924/1057 ・・・・・V-VI

H01L 2924/10571 ・・・・・・Bismuth telluride [Bi2Te3]

H01L 2924/1062 ・・・・・II-V

H01L 2924/10621 ・・・・・・Cadmium phosphide [Cd3P2]

H01L 2924/10622 ・・・・・・Cadmium arsenide [Cd3As2]

H01L 2924/10623 ・・・・・・Cadmium antimonide [Cd3Sb2]

H01L 2924/10624 ・・・・・・Zinc phosphide [Zn3P2]

H01L 2924/10625 ・・・・・・Zinc arsenide [Zn3As2]

H01L 2924/10626 ・・・・・・Zinc antimonide [Zn3Sb2]

H01L 2924/1067 ・・・・・Oxide

H01L 2924/10671 ・・・・・・Titanium dioxide, anatase, rutile, brookite [TiO2]

H01L 2924/10672 ・・・・・・Copper(I)oxide [Cu2O]

H01L 2924/10673 ・・・・・・Copper(II)oxide [CuO]

H01L 2924/10674 ・・・・・・Uranium dioxide [UO2]

H01L 2924/10675 ・・・・・・Uranium trioxide [UO3]

H01L 2924/10676 ・・・・・・Bismuth trioxide [Bi2O3]

H01L 2924/10677 ・・・・・・Tin dioxide [SnO2]

H01L 2924/10678 ・・・・・・Barium titanate [BaTiO3]

H01L 2924/10679 ・・・・・・Strontium titanate [SrTiO3]

H01L 2924/1068 ・・・・・・Lithium niobate [LiNbO3]

H01L 2924/10681 ・・・・・・Lanthanum copper oxide [La2CuO4]

H01L 2924/1072 ・・・・・Layered

H01L 2924/10721 ・・・・・・Lead(II)iodide [PbI2]

H01L 2924/10722 ・・・・・・Molybdenum disulfide [MoS2]

H01L 2924/10723 ・・・・・・Gallium selenide [GaSe]

H01L 2924/10724 ・・・・・・Tin sulfide [SnS]

H01L 2924/10725 ・・・・・・Bismuth sulfide [Bi2S3]

H01L 2924/1077 ・・・・・Magnetic diluted [DMS]

H01L 2924/10771 ・・・・・・Gallium manganese arsenide [GaMnAs]

H01L 2924/10772 ・・・・・・Indium manganese arsenide [InMnAs]

H01L 2924/10773 ・・・・・・Cadmium manganese telluride [CdMnTe]

H01L 2924/10774 ・・・・・・Lead manganese telluride [PbMnTe]

H01L 2924/10775 ・・・・・・Lanthanum calcium manganate [La0.7Ca0.3MnO3]

H01L 2924/10776 ・・・・・・Iron(II)oxide [FeO]

H01L 2924/10777 ・・・・・・Nickel(II)oxide [NiO]

H01L 2924/10778 ・・・・・・Europium(II)oxide [EuO]

H01L 2924/10779 ・・・・・・Europium(II)sulfide [EuS]

H01L 2924/1078 ・・・・・・Chromium(III)bromide [CrBr3]

H01L 2924/1082 ・・・・・Other

H01L 2924/10821 ・・・・・・Copper indium gallium selenide, CIGS [Cu[In,Ga]Se2]

H01L 2924/10822 ・・・・・・Copper zinc tin sulfide, CZTS [Cu2ZnSnS4]

H01L 2924/10823 ・・・・・・Copper indium selenide, CIS [CuInSe2]

H01L 2924/10824 ・・・・・・Silver gallium sulfide [AgGaS2]

H01L 2924/10825 ・・・・・・Zinc silicon phosphide [ZnSiP2]

H01L 2924/10826 ・・・・・・Arsenic selenide [As2S3]

H01L 2924/10827 ・・・・・・Platinum silicide [PtSi]

H01L 2924/10828 ・・・・・・Bismuth(III)iodide [BiI3]

H01L 2924/10829 ・・・・・・Mercury(II)iodide [HgI2]

H01L 2924/1083 ・・・・・・Thallium(I)bromide [TlBr]

H01L 2924/10831 ・・・・・・Selenium [Se]

H01L 2924/10832 ・・・・・・Silver sulfide [Ag2S]

H01L 2924/10833 ・・・・・・Iron disulfide [FeS2]

H01L 2924/11 ・・Device type

H01L 2924/12 ・・・Passive devices, e.g. 2 terminal devices

H01L 2924/1203 ・・・・Rectifying Diode

H01L 2924/12031 ・・・・・PIN diode

H01L 2924/12032 ・・・・・Schottky diode

H01L 2924/12033 ・・・・・Gunn diode

H01L 2924/12034 ・・・・・Varactor

H01L 2924/12035 ・・・・・Zener diode

H01L 2924/12036 ・・・・・PN diode

H01L 2924/12037 ・・・・・Cat's whisker diode

H01L 2924/12038 ・・・・・Point contact

H01L 2924/1204 ・・・・Optical Diode

H01L 2924/12041 ・・・・・LED

H01L 2924/12042 ・・・・・LASER

H01L 2924/12043 ・・・・・Photo diode

H01L 2924/12044 ・・・・・OLED

H01L 2924/1205 ・・・・Capacitor

H01L 2924/1206 ・・・・Inductor

H01L 2924/1207 ・・・・Resistor

H01L 2924/13 ・・・Discrete devices, e.g. 3 terminal devices

H01L 2924/1301 ・・・・Thyristor

H01L 2924/13011 ・・・・・Anode Gate Thyristor [AGT]

H01L 2924/13013 ・・・・・Bidirectional Control Thyristor [BCT]

H01L 2924/13014 ・・・・・Breakover Diode [BOD]

H01L 2924/13015 ・・・・・DIAC - Bidirectional trigger device

H01L 2924/13016 ・・・・・Dynistor - Unidirectional switching device

H01L 2924/13017 ・・・・・Shockley diode - Unidirectional trigger and switching device

H01L 2924/13018 ・・・・・SIDAC - Bidirectional switching device

H01L 2924/13019 ・・・・・Trisil, SIDACtor - Bidirectional protection devices

H01L 2924/1302 ・・・・・GTO - Gate Turn-Off thyristor

H01L 2924/13021 ・・・・・・DB-GTO - Distributed Buffer Gate Turn-Off thyristor

H01L 2924/13022 ・・・・・・MA-GTO - Modified Anode Gate Turn-Off thyristor

H01L 2924/13023 ・・・・・IGCT - Integrated Gate Commutated Thyristor

H01L 2924/13024 ・・・・・LASCR - Light Activated SCR, or LTT - Light triggered thyristor

H01L 2924/13025 ・・・・・Light Activated Semiconducting Switch [LASS]

H01L 2924/13026 ・・・・・MCT - MOSFET Controlled Thyristor - It contains two additional FET structures for on/off control.

H01L 2924/13027 ・・・・・BRT - Base Resistance Controlled Thyristor

H01L 2924/13028 ・・・・・RCT - Reverse Conducting Thyristor

H01L 2924/13029 ・・・・・PUT or PUJT - Programmable Unijunction Transistor - A thyristor with gate on n-type layer near to the anode used as a functional replacement for unijunction transistor

H01L 2924/1303 ・・・・・SCS - Silicon Controlled Switch or Thyristor Tetrode - A thyristor with both cathode and anode gates

H01L 2924/13032 ・・・・・SITh - Static Induction Thyristor, or FCTh - Field Controlled Thyristor - containing a gate structure that can shut down anode current flow.

H01L 2924/13033 ・・・・・TRIAC - Triode for Alternating Current - A bidirectional switching device containing two thyristor structures with common gate contact

H01L 2924/13034 ・・・・・Silicon Controlled Rectifier [SCR]

H01L 2924/13035 ・・・・・・Asymmetrical SCR [ASCR]

H01L 2924/1304 ・・・・Transistor

H01L 2924/1305 ・・・・・Bipolar Junction Transistor [BJT]

H01L 2924/13051 ・・・・・・Heterojunction bipolar transistor [HBT]

H01L 2924/13052 ・・・・・・Schottky transistor

H01L 2924/13053 ・・・・・・Avalanche transistor

H01L 2924/13054 ・・・・・・Darlington transistor

H01L 2924/13055 ・・・・・・Insulated gate bipolar transistor [IGBT]

H01L 2924/13056 ・・・・・・Photo transistor

H01L 2924/1306 ・・・・・Field-effect transistor [FET]

H01L 2924/13061 ・・・・・・Carbon nanotube field-effect transistor [CNFET]

H01L 2924/13062 ・・・・・・Junction field-effect transistor [JFET]

H01L 2924/13063 ・・・・・・Metal-Semiconductor Field-Effect Transistor [MESFET]

H01L 2924/13064 ・・・・・・High Electron Mobility Transistor [HEMT, HFET [heterostructure FET], MODFET]

H01L 2924/13066 ・・・・・・Inverted-T field effect transistor [ITFET]

H01L 2924/13067 ・・・・・・FinFET, source/drain region shapes fins on the silicon surface.

H01L 2924/13068 ・・・・・・Fast-reverse epitaxial diode field-effect transistor [FREDFET]

H01L 2924/13069 ・・・・・・Thin film transistor [TFT]

H01L 2924/1307 ・・・・・・Organic Field-Effect Transistor [OFET]

H01L 2924/13071 ・・・・・・Ballistic transistor

H01L 2924/13072 ・・・・・・Sensor FET

H01L 2924/13073 ・・・・・・・ion-sensitive field-effect transistor [ISFET]

H01L 2924/13074 ・・・・・・・Electrolyte-oxide-semiconductor field effect transistor [EOSFET], e.g. Neurochip

H01L 2924/13075 ・・・・・・・Deoxyribonucleic acid field-effect transistor [DNAFET]

H01L 2924/13076 ・・・・・・・DEPFET

H01L 2924/13078 ・・・・・・Unijunction transistors

H01L 2924/13079 ・・・・・・Single-electron transistors [SET]

H01L 2924/1308 ・・・・・・Nanofluidic transistor

H01L 2924/13081 ・・・・・・Multigate devices

H01L 2924/13082 ・・・・・・・Tetrode transistor

H01L 2924/13083 ・・・・・・・Pentode transistor

H01L 2924/13084 ・・・・・・・Trigate transistor

H01L 2924/13085 ・・・・・・・Dual gate FETs

H01L 2924/13086 ・・・・・・Junctionless Nanowire Transistor [JNT]

H01L 2924/13087 ・・・・・・Vertical-Slit Field-Effect Transistor [VeSFET]

H01L 2924/13088 ・・・・・・Graphene Nanoribbon Field-Effect Transistor [GNRFET]

H01L 2924/13089 ・・・・・・Nanoparticle Organic Memory Field-Effect Transistor [NOMFET]

H01L 2924/1309 ・・・・・・Modulation-Doped Field Effect Transistor [MODFET]

H01L 2924/13091 ・・・・・・Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]

H01L 2924/13092 ・・・・・・・Dual Gate Metal-Oxide-Semiconductor Field-Effect Transistor [DGMOSFET]

H01L 2924/14 ・・・Integrated circuits

H01L 2924/141 ・・・・Analog devices

H01L 2924/142 ・・・・・HF devices

H01L 2924/1421 ・・・・・・RF devices

H01L 2924/14211 ・・・・・・・Voltage-controlled oscillator [VCO]

H01L 2924/14215 ・・・・・・・Low-noise amplifier [LNA]

H01L 2924/1422 ・・・・・・・Mixer

H01L 2924/14221 ・・・・・・・・Electronic mixer

H01L 2924/14222 ・・・・・・・・Frequency mixer

H01L 2924/1423 ・・・・・Monolithic Microwave Integrated Circuit [MMIC]

H01L 2924/1424 ・・・・・Operational amplifier

H01L 2924/1425 ・・・・・Converter

H01L 2924/14251 ・・・・・・Frequency converter

H01L 2924/14252 ・・・・・・Voltage converter

H01L 2924/14253 ・・・・・・Digital-to-analog converter [DAC]

H01L 2924/1426 ・・・・・Driver

H01L 2924/1427 ・・・・・Voltage regulator [VR]

H01L 2924/143 ・・・・Digital devices

H01L 2924/1431 ・・・・・Logic devices

H01L 2924/1432 ・・・・・Central processing unit [CPU]

H01L 2924/1433 ・・・・・Application-specific integrated circuit [ASIC]

H01L 2924/14335 ・・・・・Digital signal processor [DSP]

H01L 2924/1434 ・・・・・Memory

H01L 2924/1435 ・・・・・・Random access memory [RAM]

H01L 2924/1436 ・・・・・・・Dynamic random-access memory [DRAM]

H01L 2924/14361 ・・・・・・・・Synchronous dynamic random access memory [SDRAM]

H01L 2924/14362 ・・・・・・・・・RAS Only Refresh [ROR]

H01L 2924/14363 ・・・・・・・・・CAS before RAS refresh [CBR]

H01L 2924/14364 ・・・・・・・・Multibank DRAM [MDRAM]

H01L 2924/14365 ・・・・・・・・Video DRAM [VRAM]

H01L 2924/14366 ・・・・・・・・Window DRAM [WRAM]

H01L 2924/14367 ・・・・・・・・Fast page mode DRAM [FPM DRAM]

H01L 2924/14368 ・・・・・・・・Extended data out DRAM [EDO DRAM]

H01L 2924/14369 ・・・・・・・・Burst EDO DRAM [BEDO DRAM]

H01L 2924/1437 ・・・・・・・Static random-access memory [SRAM]

H01L 2924/1438 ・・・・・・・Flash memory

H01L 2924/1441 ・・・・・・・Ferroelectric RAM [FeRAM or FRAM]

H01L 2924/1442 ・・・・・・・Synchronous graphics RAM [SGRAM]

H01L 2924/1443 ・・・・・・・Non-volatile random-access memory [NVRAM]

H01L 2924/1444 ・・・・・・・PBRAM

H01L 2924/145 ・・・・・・Read-only memory [ROM]

H01L 2924/1451 ・・・・・・・EPROM

H01L 2924/14511 ・・・・・・・・EEPROM

H01L 2924/1453 ・・・・・・・PROM

H01L 2924/146 ・・Mixed devices

H01L 2924/1461 ・・・MEMS

H01L 2924/15 ・Details of package parts other than the semiconductor or other solid state devices to be connected

H01L 2924/151 ・・Die mounting substrate

H01L 2924/1511 ・・・Structure

H01L 2924/1515 ・・・Shape

H01L 2924/15151 ・・・・the die mounting substrate comprising an aperture e.g. for underfilling, outgassing, window type wire connections

H01L 2924/15153 ・・・・the die mounting substrate comprising a recess for hosting the device

H01L 2924/15155 ・・・・・the shape of the recess being other than a cuboid

H01L 2924/15156 ・・・・・・Side view

H01L 2924/15157 ・・・・・・Top view

H01L 2924/15158 ・・・・the die mounting substrate being other than a cuboid

H01L 2924/15159 ・・・・・Side view

H01L 2924/15162 ・・・・・Top view

H01L 2924/15165 ・・・Monolayer substrate

H01L 2924/1517 ・・・Multilayer substrate

H01L 2924/15172 ・・・・Fan-out arrangement of the internal vias

H01L 2924/15173 ・・・・・in a single layer of the multilayer substrate

H01L 2924/15174 ・・・・・in different layers of the multilayer substrate

H01L 2924/15182 ・・・・Fan-in arrangement of the internal vias

H01L 2924/15183 ・・・・・in a single layer of the multilayer substrate

H01L 2924/15184 ・・・・・in different layers of the multilayer substrate

H01L 2924/15192 ・・・・Resurf arrangement of the internal vias

H01L 2924/152 ・・・Disposition

H01L 2924/153 ・・・Connection portion

H01L 2924/1531 ・・・・the connection portion being formed only on the surface of the substrate opposite to the die mounting surface

H01L 2924/15311 ・・・・・being a ball array e.g. BGA

H01L 2924/15312 ・・・・・being a pin array e.g. PGA

H01L 2924/15313 ・・・・・being a land array e.g. LGA

H01L 2924/1532 ・・・・the connection portion being formed on the die mounting surface of the substrate

H01L 2924/15321 ・・・・・being a ball array e.g. BGA

H01L 2924/15322 ・・・・・being a pin array e.g. PGA

H01L 2924/15323 ・・・・・being a land array e.g. LGA

H01L 2924/1533 ・・・・・the connection portion being formed both on the die mounting surface of the substrate and outside the die mounting surface of the substrate

H01L 2924/15331 ・・・・・・being a ball array e.g. BGA

H01L 2924/15332 ・・・・・・being a pin array e.g. PGA

H01L 2924/15333 ・・・・・・being a land array e.g. LGA

H01L 2924/156 ・・・Material

H01L 2924/157 ・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2924/15701 ・・・・・the principal constituent melting at a temperature of less than 400 C

H01L 2924/15717 ・・・・・the principal constituent melting at a temperature of greater than or equal to 400 C and less than 950 C

H01L 2924/15724 ・・・・・・Aluminium [Al] as principal constituent

H01L 2924/15738 ・・・・・the principal constituent melting at a temperature of greater than or equal to 950 C and less than 1550 C

H01L 2924/15747 ・・・・・・Copper [Cu] as principal constituent

H01L 2924/1576 ・・・・・・Iron [Fe] as principal constituent

H01L 2924/15763 ・・・・・the principal constituent melting at a temperature of greater than 1550 C

H01L 2924/15786 ・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2924/15787 ・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides [glass ceramics T01L 224/15788]

H01L 2924/15788 ・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2924/1579 ・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2924/15791 ・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2924/15793 ・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2924/157 to H01L 2924/15791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2924/15798 ・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2924/161 ・・Cap

H01L 2924/1611 ・・・Structure

H01L 2924/1615 ・・・Shape

H01L 2924/16151 ・・・・Cap comprising an aperture e.g. for pressure control, encapsulation

H01L 2924/16152 ・・・・Cap comprising a cavity for hosting the device, e.g. U-shaped cap

H01L 2924/16153 ・・・・・Cap enclosing a plurality of side-by-side cavities [e.g. E-shaped cap]

H01L 2924/1616 ・・・・・Cavity shape

H01L 2924/1617 ・・・・・Cavity coating

H01L 2924/16171 ・・・・・・Material

H01L 2924/16172 ・・・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2924/16173 ・・・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2924/16174 ・・・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides [glass ceramics H01L 2224/16175]

H01L 2924/16175 ・・・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2924/16176 ・・・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2924/16177 ・・・・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2924/16178 ・・・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2924/157 to H01L 2924/15791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2924/16179 ・・・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2924/1619 ・・・・・Cavity coating shape

H01L 2924/16195 ・・・・Flat cap [not enclosing an internal cavity]

H01L 2924/16196 ・・・・Cap forming a cavity e.g. being a curved metal foil

H01L 2924/162 ・・・Disposition

H01L 2924/16235 ・・・・Connecting to a semiconductor or solid-state bodies i.e. cap-to-chip

H01L 2924/16251 ・・・・Connecting to an item not being a semiconductor or solid-state body, e.g. cap-to-substrate

H01L 2924/1626 ・・・・Cap-in-cap assemblies

H01L 2924/1627 ・・・・stacked type assemblies e.g. stacked multi-cavities

H01L 2924/163 ・・・Connection portion e.g. seal

H01L 2924/1631 ・・・・Structure

H01L 2924/16315 ・・・・Shape

H01L 2924/1632 ・・・・Disposition

H01L 2924/164 ・・・・Material

H01L 2924/165 ・・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2924/16586 ・・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2924/16587 ・・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides [glass ceramics T01L 224/16588]

H01L 2924/16588 ・・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2924/1659 ・・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2924/16593 ・・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2924/157 to H01L 2924/15791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2924/16598 ・・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2924/166 ・・・Material

H01L 2924/167 ・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2924/16701 ・・・・・the principal constituent melting at a temperature of less than 400 C

H01L 2924/16717 ・・・・・the principal constituent melting at a temperature of greater than or equal to 400 C and less than 950 C

H01L 2924/16724 ・・・・・・Aluminium [Al] as principal constituent

H01L 2924/16738 ・・・・・the principal constituent melting at a temperature of greater than or equal to 950 C and less than 1550 C

H01L 2924/16747 ・・・・・・Copper [Cu] as principal constituent

H01L 2924/1676 ・・・・・・Iron [Fe] as principal constituent

H01L 2924/16763 ・・・・・the principal constituent melting at a temperature of greater than 1550 C

H01L 2924/16786 ・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2924/16787 ・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides (glass ceramics T01L 224/16788)

H01L 2924/16788 ・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2924/1679 ・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2924/16791 ・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2924/16793 ・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2924/167 to H01L 2924/16791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2924/16798 ・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2924/171 ・・Frame

H01L 2924/1711 ・・・Structure

H01L 2924/1715 ・・・Shape

H01L 2924/17151 ・・・・Frame comprising an aperture e.g. for pressure control, encapsulation

H01L 2924/172 ・・・Disposition

H01L 2924/173 ・・・Connection portion e.g. seal

H01L 2924/176 ・・・Material

H01L 2924/177 ・・・・with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof

H01L 2924/17701 ・・・・・the principal constituent melting at a temperature of less than 400 C

H01L 2924/17717 ・・・・・the principal constituent melting at a temperature of greater than or equal to 400 C and less than 950 C

H01L 2924/17724 ・・・・・・Aluminium [Al] as principal constituent

H01L 2924/17738 ・・・・・the principal constituent melting at a temperature of greater than or equal to 950 C and less than 1550 C

H01L 2924/17747 ・・・・・・Copper [Cu] as principal constituent

H01L 2924/1776 ・・・・・・Iron [Fe] as principal constituent

H01L 2924/17763 ・・・・・the principal constituent melting at a temperature of greater than 1550 C

H01L 2924/17786 ・・・・with a principal constituent of the material being a non metallic, non metalloid inorganic material

H01L 2924/17787 ・・・・・Ceramics, e.g. crystalline carbides, nitrides or oxides [glass ceramics T01L 224/17788]

H01L 2924/17788 ・・・・・Glasses, e.g. amorphous oxides, nitrides or fluorides

H01L 2924/1779 ・・・・with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy

H01L 2924/17791 ・・・・・The principal constituent being an elastomer, e.g. silicones, isoprene, neoprene

H01L 2924/17793 ・・・・with a principal constituent of the material being a solid not provided for in groups H01L 2924/177 to H01L 2924/17791, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond

H01L 2924/17798 ・・・・with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams

H01L 2924/181 ・・Encapsulation

H01L 2924/1811 ・・・Structure

H01L 2924/1815 ・・・Shape

H01L 2924/1816 ・・・・Exposing the passive side of the semiconductor or solid-state body

H01L 2924/18161 ・・・・・of a flip chip

H01L 2924/18162 ・・・・・of a chip with build-up interconnect

H01L 2924/18165 ・・・・・of a wire bonded chip

H01L 2924/182 ・・・Disposition

H01L 2924/183 ・・・Connection portion e.g. seal

H01L 2924/18301 ・・・・being an anchoring portion i.e. mechanical interlocking between the encapsulation resin and another package part

H01L 2924/186 ・・・Material

H01L 2924/19 ・Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected

H01L 2924/1901 ・・Structure

H01L 2924/19011 ・・・including integrated passive components

H01L 2924/19015 ・・・including thin film passive components

H01L 2924/1902 ・・・including thick film passive components

H01L 2924/1903 ・・・including wave guides

H01L 2924/19031 ・・・・being a strip line type

H01L 2924/19032 ・・・・being a microstrip line type

H01L 2924/19033 ・・・・being a coplanar line type

H01L 2924/19038 ・・・・being a hybrid line type

H01L 2924/19039 ・・・・・impedance transition between different types of wave guides

H01L 2924/1904 ・・・Component type

H01L 2924/19041 ・・・・being a capacitor

H01L 2924/19042 ・・・・being an inductor

H01L 2924/19043 ・・・・being a resistor

H01L 2924/1905 ・・Shape

H01L 2924/19051 ・・・Impedance matching structure [e.g. balun]

H01L 2924/191 ・・Disposition

H01L 2924/19101 ・・・of discrete passive components

H01L 2924/19102 ・・・・in a stacked assembly with the semiconductor or solid state device

H01L 2924/19103 ・・・・・interposed between the semiconductor or sold-state device and the die mounting substrate [i.e. chip-on-passive]

H01L 2924/19104 ・・・・・on the semiconductor or sold-state device [i.e. passive-on-chip]

H01L 2924/19105 ・・・・in a side-by-side arrangement on a common die mounting substrate

H01L 2924/19106 ・・・・in a mirrored arrangement on two different side of a common die mounting substrate

H01L 2924/19107 ・・・・off-chip wires

H01L 2924/20 ・Parameters

H01L 2924/201 ・・Temperature ranges

H01L 2924/20101 ・・・Temperature range T<0 C, T<273.15 K

H01L 2924/20102 ・・・Temperature range 0 C=<T<60 C, 273.15 K =<T< 333.15K

H01L 2924/20103 ・・・Temperature range 60 C=<T<100 C, 333.15 K =< T< 373.15K

H01L 2924/20104 ・・・Temperature range 100 C=<T<150 C, 373.15 K =< T < 423.15K

H01L 2924/20105 ・・・Temperature range 150 C=<T<200 C, 423.15 K =< T < 473.15K

H01L 2924/20106 ・・・Temperature range 200 C=<T<250 C, 473.15 K =<T < 523.15K

H01L 2924/20107 ・・・Temperature range 250 C=<T<300 C, 523.15K =<T< 573.15K

H01L 2924/20108 ・・・Temperature range 300 C=<T<350 C, 573.15K =<T< 623.15K

H01L 2924/20109 ・・・Temperature range 350 C=<T<400 C, 623.15K =<T< 673.15K

H01L 2924/2011 ・・・Temperature range 400 C=<T<450 C, 673.15K =<T< 723.15K

H01L 2924/20111 ・・・Temperature range 450 C=<T<500 C, 723.15K =<T< 773.15K

H01L 2924/202 ・・Electromagnetic wavelength ranges [W]

H01L 2924/20201 ・・・Gamma radiation, i.e. wavelength less than 0.01 nm

H01L 2924/20202 ・・・X-ray radiation, i.e. wavelength 0.01 to 10 nm

H01L 2924/2021 ・・・Ultraviolet radiation

H01L 2924/20211 ・・・・UV-C 100=<W<280 nm

H01L 2924/20212 ・・・・UV-B 280=<W<315 nm

H01L 2924/20213 ・・・・UV-A 315=<W<400 nm

H01L 2924/2024 ・・・Visible spectrum wavelength 390=<W<700 nm, i.e. 400-790 THz

H01L 2924/2026 ・・・Infrared radiation 700=<W<3000 nm

H01L 2924/20261 ・・・・IR-A 700=<W<1400 nm, i.e. 215 THz-430 THz

H01L 2924/20262 ・・・・IR-B 1400=<W<3000 nm, i.e. 100THz-215 THz

H01L 2924/20263 ・・・・IR-C 3000 nm =<W<1 mm, i.e. 300 GHz-100THz

H01L 2924/2027 ・・・Radio 1 mm - km 300 GHz - 3 Hz

H01L 2924/20271 ・・・・Microwave radiation 1 mm - 1 meter, i..e 300 GHz - 300 MHz

H01L 2924/203 ・・Ultrasonic frequency ranges, i.e. KHz

H01L 2924/20301 ・・・Ultrasonic frequency [f] f<25 kHz

H01L 2924/20302 ・・・Ultrasonic frequency [f] 25 Khz=<f< 50 KHz

H01L 2924/20303 ・・・Ultrasonic frequency [f] 50 Khz=<f< 75 KHz

H01L 2924/20304 ・・・Ultrasonic frequency [f] 75 Khz=<f< 100 KHz

H01L 2924/20305 ・・・Ultrasonic frequency [f] 100 Khz=<f< 125 KHz

H01L 2924/20306 ・・・Ultrasonic frequency [f] 125 Khz=<f< 150 KHz

H01L 2924/20307 ・・・Ultrasonic frequency [f] 150 Khz=<f< 175 KHz

H01L 2924/20308 ・・・Ultrasonic frequency [f] 175 Khz=<f< 200 KHz

H01L 2924/20309 ・・・Ultrasonic frequency [f] f>=200 KHz

H01L 2924/206 ・・Length ranges

H01L 2924/2064 ・・・larger or equal to 1 micron less than 100 microns

H01L 2924/20641 ・・・larger or equal to 100 microns less than 200 microns

H01L 2924/20642 ・・・larger or equal to 200 microns less than 300 microns

H01L 2924/20643 ・・・larger or equal to 300 microns less than 400 microns

H01L 2924/20644 ・・・larger or equal to 400 microns less than 500 microns

H01L 2924/20645 ・・・larger or equal to 500 microns less than 600 microns

H01L 2924/20646 ・・・larger or equal to 600 microns less than 700 microns

H01L 2924/20647 ・・・larger or equal to 700 microns less than 800 microns

H01L 2924/20648 ・・・larger or equal to 800 microns less than 900 microns

H01L 2924/20649 ・・・larger or equal to 900 microns less than 1000 microns

H01L 2924/2065 ・・・larger or equal to 1000 microns less than 1500 microns

H01L 2924/20651 ・・・larger or equal to 1500 microns less than 2000 microns

H01L 2924/20652 ・・・larger or equal to 2000 microns less than 2500 microns

H01L 2924/20653 ・・・larger or equal to 2500 microns less than 3000 microns

H01L 2924/20654 ・・・larger or equal to 3000 microns less than 4000 microns

H01L 2924/20655 ・・・larger or equal to 4000 microns less than 5000 microns

H01L 2924/20656 ・・・larger or equal to 5000 microns less than 6000 microns

H01L 2924/20657 ・・・larger or equal to 6000 microns less than 7000 microns

H01L 2924/20658 ・・・larger or equal to 7000 microns less than 8000 microns

H01L 2924/207 ・・Diameter ranges

H01L 2924/2075 ・・・larger or equal to 1 micron less than 10 microns

H01L 2924/20751 ・・・larger or equal to 10 microns less than 20 microns

H01L 2924/20752 ・・・larger or equal to 20 microns less than 30 microns

H01L 2924/20753 ・・・larger or equal to 30 microns less than 40 microns

H01L 2924/20754 ・・・larger or equal to 40 microns less than 50 microns

H01L 2924/20755 ・・・larger or equal to 50 microns less than 60 microns

H01L 2924/20756 ・・・larger or equal to 60 microns less than 70 microns

H01L 2924/20757 ・・・larger or equal to 70 microns less than 80 microns

H01L 2924/20758 ・・・larger or equal to 80 microns less than 90 microns

H01L 2924/20759 ・・・larger or equal to 90 microns less than 100 microns

H01L 2924/2076 ・・・equal to or larger than 100 microns

H01L 2924/30 ・Technical effects

H01L 2924/301 ・・Electrical effects

H01L 2924/30101 ・・・Resistance

H01L 2924/30105 ・・・Capacitance

H01L 2924/30107 ・・・Inductance

H01L 2924/3011 ・・・Impedance

H01L 2924/30111 ・・・・matching

H01L 2924/302 ・・・Electrostatic

H01L 2924/30201 ・・・・Charge

H01L 2924/30205 ・・・・Discharge

H01L 2924/3025 ・・・Electromagnetic shielding

H01L 2924/35 ・・Mechanical effects

H01L 2924/351 ・・・Thermal stress

H01L 2924/3511 ・・・・Warping

H01L 2924/3512 ・・・・Cracking

H01L 2924/35121 ・・・・・Peeling or delaminating

H01L 2924/36 ・・Material effects

H01L 2924/364 ・・・Polymers

H01L 2924/3641 ・・・・Outgassing

H01L 2924/365 ・・・Metallurgical effects

H01L 2924/3651 ・・・・Formation of intermetallics

H01L 2924/36511 ・・・・・Purple plague

H01L 2924/3656 ・・・・Formation of Kirkendall voids

H01L 2924/37 ・・Effects of the manufacturing process

H01L 2924/37001 ・・・Yield

H01L 2924/37002 ・・・Shelf life

H01L 2924/3701 ・・・increased through put

H01L 2924/38 ・・Effects and problems related to the device integration

H01L 2924/381 ・・・Pitch distance

H01L 2924/384 ・・・Bump effects

H01L 2924/3841 ・・・・Solder bridging

H01L 2924/386 ・・・Wire effects

H01L 2924/3861 ・・・・Sag

H01L 2924/3862 ・・・・Sweep

H01L 2924/40 ・Details of apparatuses used for either manufacturing connectors or connecting the semiconductor or solid-state body

H01L 2924/401 ・・LASER

H01L 2924/40101 ・・・Mode

H01L 2924/40102 ・・・・being pulsed

H01L 2924/40103 ・・・・being continous

H01L 2924/40105 ・・・Beam details

H01L 2924/4015 ・・・・Shape

H01L 2924/402 ・・・Type

H01L 2924/40201 ・・・・being a chemical

H01L 2924/40202 ・・・・・Deuterium Flouride [DF] LASER

H01L 2924/40203 ・・・・・Hydrogen Flouride [HF] LASER

H01L 2924/40207 ・・・・・Dye laser

H01L 2924/4025 ・・・・being a gas

H01L 2924/40251 ・・・・・argon-ion LASER

H01L 2924/40252 ・・・・・CO2 LASER

H01L 2924/40253 ・・・・・HeAg LASER

H01L 2924/40254 ・・・・・HeNe LASER

H01L 2924/40255 ・・・・・NeCu LASER

H01L 2924/403 ・・・・being an Excimer

H01L 2924/40301 ・・・・・ArF LASER

H01L 2924/40302 ・・・・・F2 LASER

H01L 2924/40303 ・・・・・KrCl LASER

H01L 2924/40304 ・・・・・KrF LASER

H01L 2924/40305 ・・・・・XeCl LASER

H01L 2924/40306 ・・・・・XeF LASER

H01L 2924/4035 ・・・・being a fiber hosted LASER

H01L 2924/404 ・・・・being a solid state

H01L 2924/40401 ・・・・・Free electron LASER

H01L 2924/40402 ・・・・・Photonic crystal LASER

H01L 2924/40403 ・・・・・Fiber solid state LASER

H01L 2924/40404 ・・・・・Yttrium Aluminium Garnet Nd:YAG LASER

H01L 2924/40405 ・・・・・Yttrium Lithium Flouride Nd:YLF LASER

H01L 2924/40406 ・・・・・Ruby LASER

H01L 2924/40407 ・・・・・Yb:YAG LASER

H01L 2924/405 ・・・Wavelength

H01L 2924/40501 ・・・・UV spectrum

H01L 2924/40502 ・・・・Visible spectrum

H01L 2924/40503 ・・・・IR spectrum

H01L 2925/00 Details related to assemblies consisting of a plurality of individual semiconductor or other solid state devices, which are covered by the group H01L 25/00 but not provided for in its subgroups

H01L 2925/03 ・all the devices being of a type provided for in the same subgroup of groups H01L 27/00 to H01L 49/00 and H01L 51/00, e.g. assemblies of rectifier diodes

H01L 2925/04 ・・the devices not having separate containers

H01L 2925/065 ・・・the devices being of a type provided for in group H01L 27/00

H01L 2925/0655 ・・・・Stacked arrangements of devices

H01L 2933/00 Details relating to devices covered by the group H01L 33/00 but not provided for in its subgroups

H01L 2933/0008 ・Processes

H01L 2933/0016 ・・relating to electrodes

H01L 2933/0025 ・・relating to coatings

H01L 2933/0033 ・・relating to semiconductor body packages

H01L 2933/0041 ・・relating to wavelength conversion elements

H01L 2933/005 ・・relating to encapsulations

H01L 2933/0058 ・・relating to optical field-shaping elements

H01L 2933/0066 ・・relating to arrangements for conducting electric current to or from the semiconductor body

H01L 2933/0075 ・・relating to heat extraction or cooling elements

H01L 2933/0083 ・Periodic patterns for optical field-shaping in or on the semiconductor body or semiconductor body package, e.g. photonic bandgap structures

H01L 2933/0091 ・Scattering means in or on the semiconductor body or semiconductor body package (H01L 33/22 takes precedence)

--- Edited by Muguruma Professional Engineer Office(C), 2013 ---