WebCPC CPC COOPERATIVE PATENT CLASSIFICATION

G03F PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR; (phototypographic composing devices B41B; photosensitive materials or processes for photographic purposes G03C; electrophotography, sensitive layers or processes therefor G03G)

  NOTE - In this subclass, the following terms or expressions are used with the meanings indicated :
  - "photosensitive" means not only sensitive to electromagnetic radiation but also to corpuscular radiation;
  - "photosensitive compositions" covers photosensitive substances, e.g. quinonediazides, and, if applicable, binders or additives;
  - "photosensitive materials" covers the photosensitive compositions, e.g. photoresists, the bases carrying them and, if applicable, auxiliary layers.

  WARNING - The following IPC groups are not used in the CPC system. Subject matter covered by these groups is classified in the following CPC groups :
   G03F 3/08 covered by H04N 1/46
G03F 7/207 " G03F 7/20 G03F 7/23 " G03F 7/22 G03F 9/02 " G03F 9/00

G03F 1/00 Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof

  NOTE - In this main group, at each hierarchical level, in the absence of an indication to the contrary, classification is made in the first appropriate place

  WARNING - Groups G03F 1/02-G03F 1/16 are no longer used for classification of new documents as from January, 1, 2012. The backfile is being progressively transferred to new groups as follows :- G03F 1/02 : G03F 1/88- G03F 1/04 : G03F 1/90- G03F 1/06 : G03F 1/92- G03F 1/08-G03F 1/16 : G03F 1/20 - G03F 1/86

G03F 1/0007 ・{using an elastic substrate or involving an optical distortion, e.g. for printing on non flat surfaces}

G03F 1/0015 ・{by drawing, writing, e.g. on a photosensitive surface, or by engraving, e.g. using a stylus; using a typewriter; using chemical copy sheet}

G03F 1/0023 ・・{the masking pattern being obtained by the application of an ink, e.g. by traditional printing, by ink jet printing, by using a typewriter, or by the selecitive application of a powder, e.g. a toner}

G03F 1/003 ・・{the masking pattern being obtained by thermal means, e.g. by laser ablation (thermal transfer from a ribbon, e.g. G03F 1/0023)}

G03F 1/0038 ・・{using chemical means, e.g. chemical camera copying}

G03F 1/0046 ・{Phase shift masks}

G03F 1/0053 ・・{Hybrid phase shift masks, i.e. combining plural types of phase-shifting pattern in a single common pattern}

  NOTE - - in this group the term "hybrid" is meant to involve the combination of plural types of phase-shifting pattern in a single common pattern; a mere structural, e.g. geometrical, association of masks with separate patterns is not considered hybrid;
  - hybrid masks combining a binary pattern with a phase-shifting pattern are only classified in the group corresponding to the phase-shifting aspect, the binary pattern being considered a trivial feature in this context

G03F 1/0061 ・・{Alternating phase shift masks, i.e. Levenson-Shibuya type}

G03F 1/0069 ・・・{Auxiliary patterns or specific arrangements of the phase-shifting elements to avoid phase-conflicts, i.e. phase-shifter layout strategies for alternating masks}

G03F 1/0076 ・・{Masks with semi-transparent phase shifters, i.e. attenuated phase-shifting masks}

G03F 1/0084 ・・{Masks where at least part of the patterns comprise no opaque or semi-opaque pattern elements, i.e. phase-edge masks}

G03F 1/0092 ・{Auxiliary processes relating to originals, e.g. repair, washing or inspection}

G03F 1/02 ・by photographic processes for production of originals simulating relief

G03F 1/04 ・by montage processes

G03F 1/06 ・from printing surfaces, {e.g. using a heat or pressure sensitive foil, by pulling an impression, e.g. on a photosensitive sheet}

G03F 1/08 ・Originals having inorganic imaging layers, e.g. chrome masks (G03F 1/12 takes precedence; {X-Ray absorbers G03F 1/148})

G03F 1/10 ・by exposing and washing out pigmented or coloured organic layers; by colouring macromolecular patterns

G03F 1/103 ・・{the masking pattern being obtained by modification of the polymeric pattern by energetic means, e.g. by carbonisation or by ion implantation}

G03F 1/106 ・・{the masking means, e.g. the dye or pigment being incorporated into the photosensitive material}

G03F 1/12 ・by exposing silver-halide-containing photosensitive materials or diazo-type materials

G03F 1/14 ・Originals characterised by structural details, e.g. supports, cover layers, pellicle rings

G03F 1/142 ・・{Pellicles, pellicle rings or continuous protective layers}

G03F 1/144 ・・{Auxiliary patterns; Corrected patterns, e.g. proximity correction, grey level masks (G03F 1/0046, G03F 1/146, G03F 9/00 take precedence)}

G03F 1/146 ・・{Originals for X-Ray exposures, X-Ray masks}

G03F 1/148 ・・・{X-Ray absorbers}

G03F 1/16 ・Originals having apertures, e.g. for corpuscular lithography

G03F 1/20 ・Masks or mask blanks for imaging by charged particle beam [CPB] radiation, e.g. by electron beam; Preparation thereof

G03F 1/22 ・Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultra-violet [EUV] masks; Preparation thereof

G03F 1/24 ・・Reflection masks; Preparation thereof

G03F 1/26 ・Phase shift masks [PSM]; PSM blanks; Preparation thereof

G03F 1/28 ・・with three or more diverse phases on the same PSM; Preparation thereof

G03F 1/29 ・・Rim PSM or outrigger PSM; Preparation thereof

G03F 1/30 ・・Alternating PSM, e.g. Levenson-Shibuya PSM; Preparation thereof

G03F 1/32 ・・Attenuating PSM [att-PSM], e.g. halftone PSM or PSM having semi-transparent phase shift portion,; Preparation thereof

G03F 1/34 ・・Phase-edge PSM, e.g. chromeless PSM; Preparation thereof

G03F 1/36 ・Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes

G03F 1/38 ・Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof

G03F 1/40 ・・Electrostatic discharge [ESD] related features, e.g. antistatic coatings or a conductive metal layer around the periphery of the mask substrate

G03F 1/42 ・・Alignment or registration features, e.g. alignment marks on the mask substrate

G03F 1/44 ・・Testing or measuring features, e.g. grid patterns, focus monitors, sawtooth scales or notched scales

G03F 1/46 ・・Antireflective coatings

G03F 1/48 ・・Protective coatings

G03F 1/50 ・Mask blanks not covered by G03F 1/20 - G03F 1/34; Preparation thereof

G03F 1/52 ・Reflectors

G03F 1/54 ・Absorbers, e.g. of opaque material

G03F 1/56 ・・Organic absorbers, e.g. of photo-resist

G03F 1/58 ・・having two or more different absorber layers, e.g. stacked multilayer absorber

G03F 1/60 ・Substrates

G03F 1/62 ・Pellicles, e.g. pellicle assemblies, e.g having membrane on support frame; Preparation thereof

G03F 1/64 ・・characterised by the frames, e.g. structure or material, including bonding means therefor

G03F 1/66 ・Containers specially adapted for masks, mask blanks or pellicles; Preparation thereof

G03F 1/68 ・Preparation processes not covered by groups G03F 1/20 - G03F 1/50

G03F 1/70 ・・Adapting basic layout or design of masks to lithographic process requirement, e.g., second iteration correction of mask patterns for imaging

G03F 1/72 ・・Repair or correction of mask defects

G03F 1/74 ・・・by charged particle beam [CPB], e.g. focused ion beam

G03F 1/76 ・・Patterning of masks by imaging

G03F 1/78 ・・・by charged particle beam [CPB], e.g. electron beam patterning of masks

G03F 1/80 ・・Etching

G03F 1/82 ・・Auxiliary processes, e.g. cleaning or inspecting

G03F 1/84 ・・・Inspecting

G03F 1/86 ・・・・by charged particle beam [CPB]

G03F 1/88 ・prepared by photographic processes for production of originals simulating relief

G03F 1/90 ・prepared by montage processes

G03F 1/92 ・prepared from printing surfaces

G03F 3/00 Colour separation; Correction of tonal value (photographic copying apparatus in general G03B)

G03F 3/02 ・by retouching

G03F 3/04 ・by photographic means

G03F 3/06 ・・by masking

G03F 3/10 ・Checking the colour or tonal value of separation negatives or positives

G03F 3/101 ・・{Colour or tonal value checking by non-photographic means or by means other than using non-impact printing methods or duplicating or marking methods covered by B41M 5/00}

G03F 3/102 ・・{Lamination or delamination method or apparatus for colour proofing systems}

G03F 3/103 ・・{using tonable photoresist or photopolymerisable systems}

G03F 3/105 ・・{using electro photographic materials}

G03F 3/106 ・・{using non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, other than silicon containing compounds}

G03F 3/107 ・・{using silver halide photosensitive materials}

G03F 3/108 ・・{using a non-impact printing method, e.g. ink jet, using duplicating or marking methods covered by B41M 5/00, e.g. by ablation or by thermographic means}

G03F 5/00 Screening processes; Screens therefor {(plates or light sensitive layers with incorporated screen G03F 7/004)}

G03F 5/02 ・by projection methods (cameras G03B)

G03F 5/04 ・・changing the screen effect

G03F 5/06 ・・changing the diaphragm effect

G03F 5/08 ・・using line screens

G03F 5/10 ・・using cross-line screens

G03F 5/12 ・・using other screens, e.g. granulated screen

G03F 5/14 ・by contact methods

G03F 5/16 ・・using grey half-tone screens

G03F 5/18 ・・using colour half-tone screens

G03F 5/20 ・using screens for gravure printing

G03F 5/22 ・combining several screens; Elimination of moire

G03F 5/24 ・by multiple exposure, e.g. combined processes for line photo and screen

G03F 7/00 Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor (using photoresist structures for special production processes, see the relevant places, e.g. B44C, H01L, e.g. H01L 21/00, H05K)

G03F 7/0002 ・{Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping}

G03F 7/0005 ・{Production of optical devices or components in so far as characterised by the lithographic processes or materials used therefor}

G03F 7/0007 ・・{Filters, e.g. additive colour filters; Components for display devices}

G03F 7/001 ・・{Phase modulating patterns, e.g. refractive index patterns}

G03F 7/0012 ・{Processes making use of the tackiness of the photolithographic materials, e.g. for mounting; Packaging for photolithographic material; Packages obtained by processing photolithographic materials}

G03F 7/0015 ・{Production of aperture devices, microporous systems or stamps}

G03F 7/0017 ・{for the production of embossing, cutting or similar devices; for the production of casting means}

G03F 7/002 ・{using materials containing microcapsules; Preparing or processing such materials, e.g. by pressure; Devices or apparatus specially designed therefor}

G03F 7/0022 ・・{Devices or apparatus}

G03F 7/0025 ・・・{characterised by means for coating the developer}

G03F 7/0027 ・・・{characterised by pressure means}

G03F 7/003 ・・・{characterised by storage means for the light sensitive material, e.g. cartridges}

G03F 7/0032 ・・・{characterised by heat providing or glossing means}

G03F 7/0035 ・{Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface}

G03F 7/0037 ・{Production of three-dimensional images}

G03F 7/004 ・Photosensitive materials (G03F 7/12, G03F 7/14 take precedence)

G03F 7/0041 ・・{providing an etching agent upon exposure (G03F 7/075 takes precedence; photolytic halogen compounds G03F 7/0295)}

G03F 7/0042 ・・{with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists (G03F 7/075 takes precedence)}

G03F 7/0043 ・・・{Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof (G03F 7/0044 takes precedence)}

G03F 7/0044 ・・・{involving an interaction between the metallic and non-metallic component, e.g. photodope systems}

G03F 7/0045 ・・{with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors}

G03F 7/0046 ・・{with perfluoro compounds, e.g. for dry lithography (G03F 7/0048 takes precedence)}

G03F 7/0047 ・・{characterised by additives for obtaining a metallic or ceramic pattern, e.g. by firing}

G03F 7/0048 ・・{characterised by the solvents or agents facilitating spreading, e.g. tensio-active agents}

G03F 7/008 ・・Azides (G03F 7/075 takes precedence)

G03F 7/0085 ・・・{characterised by the non-macromolecular additives}

G03F 7/012 ・・・Macromolecular azides; Macromolecular additives, e.g. binders {(G03F 7/0085 takes precedence)}

G03F 7/0125 ・・・・{characterised by the polymeric binder or the macromolecular additives other than the macromolecular azides}

G03F 7/016 ・・Diazonium salts or compounds (G03F 7/075 takes precedence)

G03F 7/0163 ・・・{Non ionic diazonium compounds, e.g. diazosulphonates; Precursors thereof, e.g. triazenes}

G03F 7/0166 ・・・{characterised by the non-macromolecular additives}

G03F 7/021 ・・・Macromolecular diazonium compounds; Macromolecular additives, e.g. binders {G03F 7/0166 takes precedence}

G03F 7/0212 ・・・・{characterised by the polymeric binder or the macromolecular additives other than the diazo resins or the polymeric diazonium compounds}

G03F 7/0215 ・・・・・{Natural gums; Proteins, e.g. gelatins; Macromolecular carbohydrates, e.g. cellulose; Polyvinyl alcohol and derivatives thereof, e.g. polyvinylacetals}

G03F 7/0217 ・・・・・{Polyurethanes; Epoxy resins}

G03F 7/022 ・・Quinonediazides (G03F 7/075 takes precedence)

G03F 7/0223 ・・・Iminoquinonediazides; Para-quinonediazides]

G03F 7/0226 ・・・{characterised by the non-macromolecular additives}

G03F 7/023 ・・・Macromolecular quinonediazides; Macromolecular additives, e.g. binders {(G03F 7/0226 takes precedence)}

G03F 7/0233 ・・・・{characterised by the polymeric binders or the macromolecular additives other than the macromolecular quinonediazides}

G03F 7/0236 ・・・・・{Condensation products of carbonyl compounds and phenolic compounds, e.g. novolak resins}

G03F 7/025 ・・Non-macromolecular photopolymerisable compounds having carbon-to-carbon triple bonds, e.g. acetylenic compounds (G03F 7/075 takes precedence)

G03F 7/027 ・・Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds (G03F 7/075 takes precedence)

G03F 7/0275 ・・・{with dithiol or polysulfide compounds}

G03F 7/028 ・・・with photosensitivity-increasing substances, e.g. photoinitiators

G03F 7/0285 ・・・・{Silver salts, e.g. a latent silver salt image}

G03F 7/029 ・・・・Inorganic compounds; Onium compounds; Organic compounds having hetero atoms other than oxygen, nitrogen or sulfur

G03F 7/0295 ・・・・・{Photolytic halogen compounds}

G03F 7/031 ・・・・Organic compounds not covered by group G03F 7/029

G03F 7/032 ・・・with binders

G03F 7/0325 ・・・・{the binders being polysaccharides, e.g. cellulose}

G03F 7/033 ・・・・the binders being polymers obtained by reactions only involving carbon-to-carbon unsaturated bonds, e.g. vinyl polymers

G03F 7/035 ・・・the binders being polyurethanes

G03F 7/037 ・・・the binders being polyamides or polyimides

G03F 7/038 ・・Macromolecular compounds which are rendered insoluble or differentially wettable (G03F 7/075 takes precedence; macromolecular azides G03F 7/012; macromolecular diazonium compounds G03F 7/021)

G03F 7/0381 ・・・{using a combination of a phenolic resin and a polyoxyethylene resin}

G03F 7/0382 ・・・{the macromolecular compound being present in a chemically amplified negative photoresist composition}

G03F 7/0384 ・・・{with ethylenic or acetylenic bands in the main chain of the photopolymer}

G03F 7/0385 ・・・{using epoxydisednovolak resin}

G03F 7/0387 ・・・{Polyamides or polyimides}

G03F 7/0388 ・・・{with ethylenic or acetylenic bands in the side chains of the photopolymer}

G03F 7/039 ・・Macromolecular compounds which are photodegradable, e.g. positive electron resists (G03F 7/075 takes precedence; macromolecular quinonediazides G03F 7/023)

G03F 7/0392 ・・・{the macromolecular compound being present in a chemically amplified positive photoresist composition}

G03F 7/0395 ・・・・{the macromolecular compound having a backbone with alicyclic moieties}

G03F 7/0397 ・・・・・{the alicyclic moiety being in a side chain}

G03F 7/04 ・・Chromates (G03F 7/075 takes precedence)

G03F 7/06 ・・Silver salts (G03F 7/075 takes precedence)

G03F 7/063 ・・・{Additives or means to improve the lithographic properties; Processing solutions characterised by such additives; Treatment after development or transfer, e.g. finishing, washing; Correction or deletion fluids}

G03F 7/066 ・・・・{Organic derivatives of bivalent sulfur, e.g. onium derivatives}

G03F 7/07 ・・・used for diffusion transfer {(G03F 7/063 takes precedence)}

G03F 7/075 ・・Silicon-containing compounds

G03F 7/0751 ・・・{used as adhesion-promoting additives or as means to improve adhesion}

G03F 7/0752 ・・・{in non photosensitive layers or as additives, e.g. for dry lithography}

G03F 7/0754 ・・・{Non-macromolecular compounds containing silicon-to-silicon bonds (G03F 7/0752 takes precedence)}

G03F 7/0755 ・・・{Non-macromolecular compounds containing Si-O, Si-C or Si-N bonds (G03F 7/0752 takes precedence)}

G03F 7/0757 ・・・{Macromolecular compounds containing Si-O, Si-C or Si-N bonds (G03F 7/0752 takes precedence)}

G03F 7/0758 ・・・・{with silicon- containing groups in the side chains}

G03F 7/085 ・・Photosensitive compositions characterised by adhesion-promoting non-macromolecular additives (G03F 7/075 takes precedence)

G03F 7/09 ・・characterised by structural details, e.g. supports, auxiliary layers (supports for printing plates in general B41N)

G03F 7/091 ・・・{characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement}

G03F 7/092 ・・・{characterised by backside coating or layers, by lubricating-slip layers or means, by oxygen barrier layers or by stripping-release layers or means}

G03F 7/093 ・・・{characterised by antistatic means, e.g. for charge depletion}

G03F 7/094 ・・・{Multilayer resist systems, e.g. planarising layers}

G03F 7/095 ・・・having more than one photosensitive layer (G03F 7/075 takes precedence)

G03F 7/0952 ・・・・{comprising silver halide or silver salt based image forming systems, e.g. for camera speed exposure}

G03F 7/0955 ・・・・{one of the photosensitive systems comprising a non-macromolecular photopolymerisable compound having carbon-to-carbon double bonds, e.g. ethylenic compounds}

G03F 7/0957 ・・・・{with sensitive layers on both sides of the substrate}

G03F 7/105 ・・・having substances, e.g. indicators, for forming visible images

G03F 7/11 ・・・having cover layers or intermediate layers, e.g. subbing layers {(G03F 7/091 to G03F 7/093, B41N 3/03 take precedence)}

G03F 7/115 ・・・having supports or layers with means for obtaining a screen effect or for obtaining better contact in vacuum printing

G03F 7/12 ・Production of screen printing forms or similar printing forms, e.g. stencils

G03F 7/14 ・Production of collotype printing forms

G03F 7/16 ・Coating processes; Apparatus therefor (applying coatings to base materials in general B05; applying photosensitive compositions to base for photographic purposes G03C 1/74)

G03F 7/161 ・・{using a previously coated surface, e.g. by stamping or by transfer lamination}

G03F 7/162 ・・{Coating on a rotating support, e.g. using a whirler or a spinner}

G03F 7/164 ・・{using electric, electrostatic or magnetic means; powder coating}

G03F 7/165 ・・{Monolayers, e.g. Langmuir-Blodgett}

G03F 7/167 ・・{from the gas phase, by plasma deposition (G03F 7/2035 takes precedence)}

G03F 7/168 ・・{Finishing the coated layer, e.g. drying, baking, soaking}

G03F 7/18 ・・Coating curved surfaces

G03F 7/20 ・Exposure; Apparatus therefor (photographic printing apparatus for making copies G03B 27/00)

G03F 7/2002 ・・{with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image}

G03F 7/2004 ・・・{characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light}

G03F 7/2006 ・・・・{using coherent light; using polarised light}

G03F 7/2008 ・・・{characterised by the reflectors, diffusers, light or heat filtering means or anti-reflective means used}

G03F 7/201 ・・・{characterised by an oblique exposure; characterised by the use of plural sources; characterised by the rotation of the optical device; characterised by a relative movement of the optical device, the light source, the sensitive system or the mask}

G03F 7/2012 ・・・{using liquid photohardening compositions, e.g. for the production of reliefs such as flexographic plates or stamps}

G03F 7/2014 ・・・{Contact or film exposure of light sensitive plates such as lithographic plates or circuit boards, e.g. in a vacuum frame}

G03F 7/2016 ・・・・{Contact mask being integral part of the photosensitive element and subject to destructive removal during post-exposure processing}

G03F 7/2018 ・・・・・{Masking pattern obtained by selective application of an ink or a toner, e.g. ink jet printing}

G03F 7/202 ・・・・・{Masking pattern being obtained by thermal means, e.g. laser ablation}

G03F 7/2022 ・・{Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure}

G03F 7/2024 ・・・{of the already developed image}

G03F 7/2026 ・・・{for the removal of unwanted material, e.g. image or background correction}

G03F 7/2028 ・・・・{of an edge bead on wafers}

G03F 7/203 ・・・{comprising an imagewise exposure to electromagnetic radiation or corpuscular radiation}

G03F 7/2032 ・・・{Simultaneous exposure of the front side and the backside}

G03F 7/2035 ・・{simultaneous coating and exposure; using a belt mask, e.g. endless}

G03F 7/2037 ・・{Exposure with X-ray radiation or corpuscular radiation, through a mask with a pattern opaque to that radiation}

G03F 7/2039 ・・・{X-ray radiation}

G03F 7/2041 ・・{in the presence of a fluid, e.g. immersion; using fluid cooling means}

G03F 7/2043 ・・・{with the production of a chemical active agent from a fluid, e.g. an etching agent; with meterial deposition from the fluid phase, e.g. contamination resists}

G03F 7/2045 ・・{using originals with apertures, e.g. stencil exposure masks}

G03F 7/2047 ・・・{Exposure with radiation other than visible light or UV light, e.g. shadow printing, proximity printing}

G03F 7/2049 ・・{using a cantilever}

G03F 7/2051 ・・{Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source (G03F 7/70 takes precedence)}

G03F 7/2053 ・・・{using a laser (ablative removal B41C)}

G03F 7/2055 ・・・・{for the production of printing plates; Exposure of liquid photohardening compositions}

G03F 7/2057 ・・・{using an addressed light valve, e.g. a liquid crystal device}

G03F 7/2059 ・・・{using a scanning corpuscular radiation beam, e.g. an electron beam}

G03F 7/2061 ・・・・{Electron scattering (proximity) correction or prevention methods}

G03F 7/2063 ・・・・{for the production of exposure masks or reticles}

G03F 7/2065 ・・・・{using corpuscular radiation other than electron beams}

G03F 7/213 ・・Exposing with the same light pattern different positions of the same surface at the same time {G03F 7/70 takes precedence}

G03F 7/22 ・・Exposing sequentially with the same light pattern different positions of the same surface {G03F 7/70 takes precedence}

G03F 7/24 ・・Curved surfaces {G03F 7/70 takes precedence}

G03F 7/26 ・Processing photosensitive materials; Apparatus therefor (G03F 7/12 to G03F 7/24 take precedence)

G03F 7/265 ・・{Selective reaction with inorganic or organometallic reagents after image-wise exposure, e.g. silylation}

G03F 7/28 ・・for obtaining powder images (G03F 3/10 takes precedence)

G03F 7/30 ・・Imagewise removal using liquid means

G03F 7/3007 ・・・{combined with electrical means, e.g. force fields}

G03F 7/3014 ・・・{combined with ultrasonic means}

G03F 7/3021 ・・・{from a wafer supported on a rotating chuck}

G03F 7/3028 ・・・・{characterised by means for on-wafer monitoring of the processing}

G03F 7/3035 ・・・{from printing plates fixed on a cylinder or on a curved surface; from printing cylinders}

G03F 7/3042 ・・・{from printing plates transported horizontally through the processing stations}

G03F 7/305 ・・・・{characterised by the brushing or rubbing means}

G03F 7/3057 ・・・・{characterised by the processing units other than the developing unit, e.g. washing units}

G03F 7/3064 ・・・・{characterised by the transport means or means for confining the different units, e.g. to avoid the overflow}

G03F 7/3071 ・・・・{Process control means, e.g. for replenishing}

G03F 7/3078 ・・・・{Processing different kinds of plates, e.g. negative and positive plates, in the same machine}

G03F 7/3085 ・・・{from plates or webs transported vertically; from plates suspended or immersed vertically in the processing unit}

G03F 7/3092 ・・・{Recovery of material; Waste processing}

G03F 7/32 ・・・Liquid compositions therefor, e.g. developers

G03F 7/322 ・・・・{Aqueous alkaline compositions}

G03F 7/325 ・・・・{Non-aqueous compositions}

G03F 7/327 ・・・・・{Non-aqueous alkaline compositions, e.g. anhydrous quaternary ammonium salts}

G03F 7/34 ・・Imagewise removal by selective transfer, e.g. peeling away

G03F 7/343 ・・・{Lamination or delamination methods or apparatus for photolitographic photosensitive material}

G03F 7/346 ・・・{using photosensitive materials other than non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds}

G03F 7/36 ・・Imagewise removal not covered by groups G03F 7/30 to G03F 7/34, e.g. using gas streams, using plasma

G03F 7/38 ・・Treatment before imagewise removal, e.g. prebaking {(G03F 7/265 takes precedence)}

G03F 7/40 ・・Treatment after imagewise removal, e.g. baking

G03F 7/405 ・・・{Treatment with inorganic or organometallic reagents after imagewise removal}

G03F 7/42 ・・Stripping or agents therefor

  NOTE - Stripping involving the use of a combination of means, e.g. plasma and radiation, is classified in group G03F 7/42 only

G03F 7/421 ・・・{using biological means only, e.g. enzymes}

G03F 7/422 ・・・{using liquids only (G03F 7/421 takes precedence)}

G03F 7/423 ・・・・{containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds}

G03F 7/425 ・・・・{containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen}

G03F 7/426 ・・・・{containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides}

G03F 7/427 ・・・{using plasma means only}

G03F 7/428 ・・・{using ultrasonic means only}

G03F 7/70 ・{Exposure apparatus for microlithography}

G03F 7/70008 ・・{Production of exposure light, i.e. light sources}

G03F 7/70016 ・・・{by discharge lamps (discharge lamps per se H01J 61/00)}

G03F 7/70025 ・・・{by lasers (lasers per se H01S 3/00)}

G03F 7/70033 ・・・{by plasma EUV sources (plasma EUV sources per se H05G 2/00)}

G03F 7/70041 ・・・{by pulsed sources}

G03F 7/7005 ・・・{by multiple sources {(addressable array sources specially adapted to produce patterns G03F 7/70391)}}

G03F 7/70058 ・・{Mask illumination systems}

G03F 7/70066 ・・・{Size and form of the illuminated area in the mask plane, e.g. REMA}

G03F 7/70075 ・・・{Homogenization of illumination intensity in the mask plane, by using an integrator, e.g. fly's eye lenses, facet mirrors, glass rods, by using a diffusive optical element or by beam deflection}

G03F 7/70083 ・・・{Non-homogeneous intensity distribution in the mask plane}

G03F 7/70091 ・・・{Illumination settings, i.e. intensity distribution in the pupil plane, angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole, quadrupole; Partial coherence control, i.e. sigma or numerical aperture (NA)}

G03F 7/701 ・・・・{Off-axis setting using an aperture}

G03F 7/70108 ・・・・{Off-axis setting using a light-guiding element}

G03F 7/70116 ・・・・{Off-axis setting using a programmable means, e.g. LCD or DMD}

G03F 7/70125 ・・・{Use of illumination settings tailored to particular mask patterns (details of setting means G03F 7/70091)}

G03F 7/70133 ・・・{Measurement of illumination distribution, in pupil plane or field plane}

G03F 7/70141 ・・・{Illumination system adjustment, alignment during assembly of illumination system (alignment of mask with workpiece G03F 9/70)}

G03F 7/7015 ・・・{Details of optical elements}

G03F 7/70158 ・・・・{Diffractive optical elements}

G03F 7/70166 ・・・・{Capillary or channel elements, e.g. nested EUV mirrors}

G03F 7/70175 ・・・・{Lamphouse reflector arrangements, i.e. collecting light from solid angle upstream of the light source}

G03F 7/70183 ・・・・{Zoom systems}

G03F 7/70191 ・・・{Optical correction elements, filters or phase plates for controlling intensity, wavelength, polarization, phase or the like}

  NOTE - Wavelength or polarisation control is further classified in groups G03F 7/70566, G03F 7/70575

G03F 7/702 ・・・{Reflective illumination, i.e. reflective optical elements other than folding mirrors}

G03F 7/70208 ・・・{Multiple illumination paths, e.g. radiation distribution device, multiplexer, demultiplexer for single or multiple projection systems}

G03F 7/70216 ・・{Systems for imaging mask onto workpiece}

G03F 7/70225 ・・・{Catadioptric systems, i.e. documents describing optical design aspect details}

  NOTE - Catadioptric systems are further classified in group G02B 17/0892

G03F 7/70233 ・・・{Optical aspects of catoptric systems}

  NOTE - Further aspects of catoptric systems are classified in group G02B 17/06

G03F 7/70241 ・・・{Optical aspects of refractive systems}

  NOTE - G02B 13/143

G03F 7/7025 ・・・{Size or form of projection system aperture}

G03F 7/70258 ・・・{Projection system adjustment, alignment during assembly of projection system (alignment of mask with workpiece G03F 9/70)}

G03F 7/70266 ・・・・{Adaptive optics, e.g. deformable optical elements for wavefront control}

G03F 7/70275 ・・・{Multiple projection paths, array of projection systems, microlens projection systems, tandem projection systems}

G03F 7/70283 ・・・{Masks or their effects on the imaging process, e.g. Fourier masks, greyscale masks, holographic masks, phase shift masks, phasemasks, lenticular masks, multiple masks, tilted masks, tandem masks (masks per se G03F 1/14)}

G03F 7/70291 ・・・・{Addressable masks}

G03F 7/703 ・・・{Non-planar pattern area or non-planar masks}

G03F 7/70308 ・・・{Optical correction elements, filters and phase plates for manipulating e.g. intensity, wavelength, polarization, phase, image shift (filters per se G02B 5/20)}

  NOTE - Wavelength or polarisation control is further classified in groups G03F 7/70566, G03F 7/70575

G03F 7/70316 ・・・{Details of optical elements, e.g. of Bragg reflectors or diffractive optical elements}

  NOTE - 1. Particular optical materials are further classified in group G03F 7/70958;2. Multilayer reflectors for X-ray or EUV lithography are further classified in group G21K 1/062

G03F 7/70325 ・・・{Resolution enhancement techniques not otherwise provided for, e.g. darkfield imaging, interfering beams, spatial frequency multiplication, nearfield lens}

G03F 7/70333 ・・・・{Focus drilling, e.g. FLEX}

G03F 7/70341 ・・・{Immersion (chemical composition of immersion liquids G03F 7/2041)}

G03F 7/7035 ・・・{Proximity or contact printer}

G03F 7/70358 ・・・{Scanning exposure, i.e. relative movement of patterned beam and workpiece during imaging}

G03F 7/70366 ・・・・{Rotary scanning}

G03F 7/70375 ・・{Imaging systems not otherwise provided for, e.g. multiphoton lithography; Imaging systems comprising means for converting one type of radiation into another type of radiation, systems comprising mask with photo-cathode}

G03F 7/70383 ・・・{Direct write, i.e. pattern is written directly without the use of a mask by one or multiple beams ("maskless" lithography using a programmable mask G03F 7/70291)}

G03F 7/70391 ・・・・{Addressable array sources specially adapted to produce patterns, e.g. addressable LED arrays (array sources for exposure apparatus comprising a mask G03F 7/7005; illumination setting using programmable means in exposure apparatus comprising a mask G03F 7/70116)}

G03F 7/704 ・・・・{Scanned exposure beam, e.g. raster-, rotary- and vector scanning (mask projection exposure involving relative movement of patterned beam and workpiece during imaging G03F 7/70358)}

G03F 7/70408 ・・・{Interferometric lithography; Holographic lithography; Self-imaging lithography}

G03F 7/70416 ・・・{Stereolithography, 3D printing, rapid prototyping}

  NOTE - G03F 7/0037 and group B29C 67/0051

G03F 7/70425 ・・{Imaging strategies, e.g. for increasing throughput, printing product fields larger than the image field, compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching, double patterning}

G03F 7/70433 ・・・{Layout for increasing efficiency, for compensating imaging errors, e.g. layout of exposure fields, {; Use of mask features for increasing efficiency, for compensating imaging errors (circuit design per se G06F 17/5068; designing or making of mask G03F 1/14)}}

G03F 7/70441 ・・・・{Optical proximity correction}

G03F 7/7045 ・・・{Hybrid exposure, i.e. combining different types of exposure, e.g. projection, proximity, direct write, interferometric, uv, x-ray, particle beam (constructional details G03F 7/70991)}

G03F 7/70458 ・・・{Mix-and-match, i.e. multiple exposures of the same area using similar types of exposure, e.g. UV exposure}

G03F 7/70466 ・・・{Multiple exposures, e.g. combination of fine and coarse exposures, double patterning, multiple exposures for printing a single feature, mix-and-match (stitching G03F 7/70475)}

G03F 7/70475 ・・・{Stitching, i.e. connecting image fields to produce a device field, the field occupied by a device such as a memory chip, processor chip, CCD, flat panel display}

G03F 7/70483 ・・{Information management, control, testing, and wafer monitoring, e.g. pattern monitoring (detection arrangements G03F 7/7085)}

G03F 7/70491 ・・・{Information management and control, including software}

G03F 7/705 ・・・・{Modelling and simulation from physical phenomena up to complete wafer process or whole workflow in wafer fabrication}

G03F 7/70508 ・・・・{Data handling, in all parts of the microlithographic apparatus, e.g. addressable masks}

G03F 7/70516 ・・・・{Calibration of components of the microlithographic apparatus, e.g. light sources, addressable mask, detectors}

G03F 7/70525 ・・・・{Controlling normal operating mode, e.g. matching different apparatus, remote control, prediction of failure}

G03F 7/70533 ・・・・{Controlling abnormal operating mode, e.g. taking account of waiting time, decision to rework, rework flow}

G03F 7/70541 ・・・・{Tagging, i.e. hardware or software tagging of features or components}

G03F 7/7055 ・・・{Exposure light control, in all parts of the microlithographic apparatus, e.g. pulse length control, light interruption}

G03F 7/70558 ・・・・{Dose control, i.e. achievement of a desired dose (determination of the required dose G03F 7/70625)}

G03F 7/70566 ・・・・{Polarisation control}

G03F 7/70575 ・・・・{Wavelength control, e.g. control of bandwidth, multiple wavelength, selection of wavelength, matching of optical components to wavelength}

G03F 7/70583 ・・・・{Speckle reduction, e.g. coherence control, amplitude/wavefront splitting}

G03F 7/70591 ・・・{Testing optical components (testing of optical mirrors G01M 11/005; testing of lenses G01M 11/02; stray light transmission G03F 7/70941)}

G03F 7/706 ・・・・{Aberration measurement (aberration measurement in general G01M 11/0242)}

G03F 7/70608 ・・・{Wafer resist monitoring, e.g. measuring thickness, reflectivity, effects of immersion liquid on resist}

G03F 7/70616 ・・・{Wafer pattern monitoring, i.e. measuring printed patterns or the aerial image at the wafer plane (optical metrology tools per se G01B 11/02 and G01B 9/04)}

G03F 7/70625 ・・・・{Pattern dimensions, e.g. line width, profile, sidewall angle, edge roughness}

G03F 7/70633 ・・・・{Overlay (alignment between mask and wafer prior to exposure G03F 9/70)}

G03F 7/70641 ・・・・{Focus (focus measurement prior to exposure G03F 9/7026)}

G03F 7/7065 ・・・・{Defect inspection (defect inspection apparatus per se G06T 7/0004, G01N 21/956)}

G03F 7/70658 ・・・・{Electrical}

G03F 7/70666 ・・・・{using aerial image (aerial image measurement tools for mask inspection G03F 1/0092)}

G03F 7/70675 ・・・・{using latent image}

G03F 7/70683 ・・・・{using process control mark, i.e. specific mark designs}

G03F 7/70691 ・・{Handling of masks or wafers}

G03F 7/707 ・・・{Chucks, e.g. chucking or un-chucking operations (chucks for workpiece processing tools H01L 21/683 or H01L 21/687 depending on the type of chucking)}

G03F 7/70708 ・・・・{being electrostatic; Electrostatically deformable vacuum chucks (electrostatic chucks for workpiece processing tools H01L 21/6831)}

G03F 7/70716 ・・・{Stages (stages for workpiece processing tools H01L 21/682)}

G03F 7/70725 ・・・・{control}

G03F 7/70733 ・・・{Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask}

G03F 7/70741 ・・・・{Handling masks outside exposure position, e.g. reticle libraries}

  NOTE - G03F 1/66

G03F 7/7075 ・・・・{Handling workpieces outside exposure position, e.g. SMIF box}

G03F 7/70758 ・・・{Drive means, e.g. actuator, motor (lens or mirror actuators G03F 7/70825)}

G03F 7/70766 ・・・{Reaction force control means, e.g. countermass}

G03F 7/70775 ・・・{Position control (interferometers per se G01B 9/02; encoders per se G01D 5/00; alignment of mask with workpiece G03F 9/70)}

G03F 7/70783 ・・・{Stress or warp of chucks, mask or workpiece, e.g. to compensate for imaging error}

G03F 7/70791 ・・・{Large workpieces, e.g. in the shape of web or polygon}

G03F 7/708 ・・{Construction of apparatus, e.g. environment, hygiene aspects or materials}

G03F 7/70808 ・・・{Construction details, e.g. housing, load-lock, seals, windows for passing light in- and out of apparatus (load-lock chambers for workpiece processors in general H01L 21/67201)}

G03F 7/70816 ・・・・{Bearings (fluid bearings per se F16C 32/06)}

G03F 7/70825 ・・・・{Mounting of individual elements, e.g. mounts, holders or supports (mounts or supports for projection- and illumination system and stages on base-plate or ground G03F 7/70833; workpiece and mask holders G03F 7/707)}

G03F 7/70833 ・・・・{Mounting of optical systems, e.g. mounting of illumination system, projection system or stage systems on base-plate or ground (mounting of individual elements of said systems G03F 7/70825)}

G03F 7/70841 ・・・・{Constructional issues related to vacuum environment}

G03F 7/7085 ・・・{Detection arrangement, e.g. detectors of apparatus alignment possibly mounted on wafers, exposure dose, photo-cleaning flux, stray light, thermal load}

G03F 7/70858 ・・・{Environment aspects, e.g. pressure of beam-path gas, temperature (pollution aspects G03F 7/70916)}

G03F 7/70866 ・・・・{of mask or workpiece}

G03F 7/70875 ・・・・・{Temperature}

G03F 7/70883 ・・・・{of optical system}

G03F 7/70891 ・・・・・{Temperature}

G03F 7/709 ・・・・{Vibration, e.g. vibration detection, compensation, suppression}

G03F 7/70908 ・・・{Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution, removing pollutants from apparatus; electromagnetic and electrostatic-charge pollution}

G03F 7/70916 ・・・・{Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps}

G03F 7/70925 ・・・・{Cleaning, i.e. actively freeing apparatus from pollutants}

G03F 7/70933 ・・・・{Purge}

G03F 7/70941 ・・・・{Stray fields and charges, e.g. stray light, scattered light, flare, transmission loss}

G03F 7/7095 ・・・{Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient}

G03F 7/70958 ・・・・{Optical materials and coatings, e.g. with particular transmittance, reflectance (details of optical elements G03F 7/70316)}

G03F 7/70966 ・・・・・{Birefringence}

G03F 7/70975 ・・・{Assembly, maintenance, transport and storage of apparatus}

G03F 7/70983 ・・・{Optical system protection, e.g. pellicles or removable covers for protection of mask}

G03F 7/70991 ・・・{Connection with other apparatus, e.g. multiple exposure stations, particular arrangement of exposure apparatus and pre-exposure and/or post-exposure apparatus, shared apparatus, e.g. having shared radiation source, shared mask or workpiece stage, shared base-plate, utilities e.g. cable, pipe or wireless arrangements for data, power, fluids, vacuum (apparatus for processing a workpiece in a plurality of work-stations including at least one lithography chamber H01L 21/67225)}

G03F 9/00 Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically (G03F 7/22 takes precedence; preparation of photographic masks G03F 1/00; within photographic printing apparatus for making copies G03B 27/00)

  WARNING - Groups G03F 9/00T-G03F 9/00T26 are no longer used for classification of new documents as from January 1, 2012. The backfile is progressively being transferred to new groups as it follows:- G03F 9/00T : G03F 9/70 - G03F 9/00T12 :
G03F 9/7003 - G03F 9/00T14 : G03F 9/7049 - G03F 9/00T16 : G03F 9/7065 - G03F 9/00T18 : G03F 9/7069- G03F 9/00T20 : G03F 9/7073 - G03F 9/00T22 : G03F 9/7088 - G03F 9/00T24 : G03F 9/7092 - G03F 9/00T26 : G03F 9/7096

G03F 9/70 ・{for microlithography (measuring printed patterns for monitoring overlay G03F 7/70633 or focus G03F 7/70641; projection system adjustment G03F 7/70258; position control G03F 7/70775)}

G03F 9/7003 ・・{Alignment type or strategy, e.g. leveling, global alignment}

G03F 9/7007 ・・・{Alignment other than original with workpiece}

G03F 9/7011 ・・・・{Pre-exposure scan; original with original holder alignment; Prealignment, i.e. workpiece with workpiece holder}

G03F 9/7015 ・・・・{Reference, i.e. alignment of original or workpiece with respect to a reference not on the original or workpiece}

G03F 9/7019 ・・・{Calibration}

G03F 9/7023 ・・・{Aligning or positioning in direction perpendicular to substrate surface}

G03F 9/7026 ・・・・{Focusing}

G03F 9/703 ・・・・{Gap setting, e.g. in proximity printer}

G03F 9/7034 ・・・・{Leveling}

G03F 9/7038 ・・・{Alignment for proximity or contact printer (proximity or contact printers per se G03F 7/7035)}

G03F 9/7042 ・・・{Alignment for lithographic apparatus using patterning methods other than those involving the exposure to radiation, e.g. by stamping or imprinting (non-exposure lithographic processes per se G03F 7/0002)}

G03F 9/7046 ・・・{Strategy, e.g. mark, sensor or wavelength selection}

G03F 9/7049 ・・{Technique, e.g. interferometric}

G03F 9/7053 ・・・{Non-optical, e.g. mechanical, capacitive, using an electron beam, acoustic or thermal waves}

G03F 9/7057 ・・・・{Gas flow, e.g. for focusing, leveling or gap setting}

G03F 9/7061 ・・・・{Scanning probe microscopy, e.g. AFM, scanning tunneling microscopy}

G03F 9/7065 ・・{Production of alignment light, e.g. light source, control of coherence, polarization, pulse length, wavelength}

G03F 9/7069 ・・{Alignment mark illumination, e.g. darkfield, dual focus}

G03F 9/7073 ・・{Alignment marks and their environment (marks specific to masks G03F 1/42; marks specific to molds or stamps G03F 7/0002; overlay marks G03F 7/20T22; marks applied to semiconductor devices H01L 23/544)}

G03F 9/7076 ・・・{Mark details, e.g. phase grating mark, temporary mark}

G03F 9/708 ・・・{Mark formation}

G03F 9/7084 ・・・{Position of mark on substrate: i.e. position in (x, y, z) of mark, e.g. buried or resist covered mark, mark on rearside, at the substrate edge, in the circuit area, latent image mark, marks in plural levels}

G03F 9/7088 ・・{Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection}

G03F 9/7092 ・・{Signal processing}

G03F 9/7096 ・・{Arrangement, mounting, housing, environment, cleaning or maintenance of apparatus}

G03F 2007/00 Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor (using photoresist structures for special production processes, see the relevant places, e.g. B44C, H01L, e.g. H01L 21/00, H05K)

G03F 2007/20 ・Exposure; Apparatus therefor (photographic printing apparatus for making copies G03B 27/00)

G03F 2007/2067 ・・Apparatus for microlithography

G03F 2009/00 Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically (G03F 7/22 takes precedence; preparation of photographic masks G03F 1/00; within photographic printing apparatus for making copies G03B 27/00)

  WARNING - Groups G03F 9/00T-G03F 9/00T26 are no longer used for classification of new documents as from January 1, 2012. The backfile is progressively being transferred to new groups as it follows:- G03F 9/00T : G03F 9/70 - G03F 9/00T12 :
G03F 9/7003 - G03F 9/00T14 : G03F 9/7049 - G03F 9/00T16 : G03F 9/7065 - G03F 9/00T18 : G03F 9/7069- G03F 9/00T20 : G03F 9/7073 - G03F 9/00T22 : G03F 9/7088 - G03F 9/00T24 : G03F 9/7092 - G03F 9/00T26 : G03F 9/7096

G03F 2009/005 ・for microlithography

--- Edited by Muguruma Professional Engineer Office(C), 2013 ---